skip to main content
10.1145/3195970.3196016acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

COSAT: congestion, obstacle, and slew aware tree construction for multiple power domain design

Published: 24 June 2018 Publication History

Abstract

Slew fixing, which ensures correct signal propagation, is essential during timing closure of IC design flow. Conventionally, gate sizing, Vt swapping, or buffer insertion is adopted to locally fix the slew violation on a single gate. Nevertheless, when slew violations are caused by congestion, obstacles, or excessive loadings (e.g., high-fanout nets or long wires), only smart buffering with a global view can fix them. Therefore, in this paper, we propose congestion, obstacle, and slew aware buffered tree construction for excessive loading nets in modern multiple power domain designs. We iteratively cluster sinks into groups by diamond covering and construct Steiner minimal trees. We globally maintain a congestion and obstacle grid map to guide fast grid routing to locate buffers, while avoiding congested regions and obstacles without timing degradation. Our experiments are conducted on seven industrial smartphone designs with TSMC 16/10nm process. Compared with the conventional buffer insertion approach (widely adopted by commercial tools), the minimal chain based approach can reduce 17% buffer count, decrease 14% leakage, and achieve 44% runtime speedup, but incur unwanted timing, design rule, power rule, and routing violations. Our approach can reduce 18% buffer count, decrease 21% leakage, and achieve 92% runtime speedup, while significantly reducing timing, design rule, power rule, and routing short violations. Our results show that our approach is promising for slew fixing on excessive loading nets in modern multiple domain designs.

References

[1]
P. Saxena, N. Menezes, P. Cocchini and D. A. Kirkpatrick, Repeater scaling and its impact on CAD. IEEE. TCAD, 23(4), pp. 451--463, 2004.
[2]
J. Cong, L. He, C.-K. Koh, and P. H. Madden, Performance optimization of VLSI interconnect layout. Integration, VLSI Journal, 21(1-2), pp. 1--94, 1996.
[3]
M. Kim, B. G. Ahn, J. Kim, B. Lee, and J. Chong, Thermal aware timing budget for buffer insertion in early stage of physical design. Proc. ISCAS, pp. 357--360. 2012.
[4]
L. P. P. P. van Ginneken, Buffer placement in distributed RC-tree networks for minimal Elmore delay. Proc. ISCAS, vol. 2, pp. 865--868, 1990.
[5]
W. Shi and Z. Li, A fast algorithm for optimal buffer insertion. IEEE TCAD. 24(6), pp. 879--891, 2005.
[6]
S. Hu, Charles J. Alpert, J. Hu; S.-K. Karandikar, Z. Li, W. Shi, C.-N. Sze, Fast algorithms for slew-constrained minimum cost buffering. IEEE TCAD, 26(11). pp. 2009--2022, 2007.
[7]
R. Murgai, Layout-driven area-constrained timing optimization by net buffering. Proc. ICCAD, pp. 379--386, 2000.
[8]
R. Murgai, Improved layout-driven area-constrained timing optimization by net buffering. Proc. ICVD, pp. 92--102, 2005.
[9]
I.-M. Liu, A. Aziz, D.-F. Wong and H. Zhou, An efficient buffer insertion algorithm for large network based on Lagrangian relaxation. Proc. ICCD, pp. 210--215, 1999.
[10]
I.-M. Liu, A. Aziz and D.-F. Wong, Meeting delay constraints in DSM by minimal repeater insertion. Proc. DATE, pp. 436--440, 2000.
[11]
R. Chen and H. Zhou, Efficient algorithms for buffer insertion in general circuits based on network flow. Proc. ICCAD, pp. 322--326, 2005.
[12]
C.N. Sze, C. J. Alpert, J. Hu, and W. Shi, Path-based buffer insertion. IEEE TCAD, 26(7), pp. 1346--1355, 2007.
[13]
X. Lou, Y.-J. Yu, P.-K. Meher, Lower bound analysis and perturbation of critical path for area-time efficient multiple constant multiplications. IEEE TCAD. 36(2), pp. 313--324, 2017.
[14]
C.-P. Lu, Mango C.-T. Chao, C.-H. Lo, and C.-W. Chang, A metal-only-ECO solver for input-slew and output-loading violation. Proc. ISPD, pp. 191--198. 2009.
[15]
X. Tang, R. Tian, H. Xiang, and D.-F. Wong, A new algorithm for routing tree construction with buffer insertion and wire sizing under obstacle constraints. Proc. ICCAD, pp. 49--56, 2001.
[16]
J. Cong and X. Yuan Routing tree construction under fixed buffer locations. Proc. DAC, pp. 379--384, 2000.
[17]
R.-R. Rao, D. Blaauw, D. Sylvester, C. J. Alpert, and S. Nassif, An efficient surface-based low-power buffer insertion algorithm. Proc. ISPD, pp. 86--93. 2005.
[18]
A. Gupta and A. Kumar, Online Steiner tree with deletions. Proc. SODA, pp. 455--467, 2014.
[19]
IC Compiler, Synopsys, Inc.
[20]
Innovus, Cadence, Inc.

Cited By

View all
  • (2024)Power Sub-Mesh Construction in Multiple Power Domain Design with IR Drop and Routability OptimizationProceedings of the 2024 International Symposium on Physical Design10.1145/3626184.3633312(205-212)Online publication date: 12-Mar-2024
  • (2022)Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining MachinesProceedings of the 2022 International Symposium on Physical Design10.1145/3505170.3506720(13-20)Online publication date: 13-Apr-2022

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '18: Proceedings of the 55th Annual Design Automation Conference
June 2018
1089 pages
ISBN:9781450357005
DOI:10.1145/3195970
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 24 June 2018

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Conference

DAC '18
Sponsor:
DAC '18: The 55th Annual Design Automation Conference 2018
June 24 - 29, 2018
California, San Francisco

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)1
Reflects downloads up to 17 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Power Sub-Mesh Construction in Multiple Power Domain Design with IR Drop and Routability OptimizationProceedings of the 2024 International Symposium on Physical Design10.1145/3626184.3633312(205-212)Online publication date: 12-Mar-2024
  • (2022)Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining MachinesProceedings of the 2022 International Symposium on Physical Design10.1145/3505170.3506720(13-20)Online publication date: 13-Apr-2022

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media