skip to main content
10.1145/3195970.3196107acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Routability-driven and fence-aware legalization for mixed-cell-height circuits

Published:24 June 2018Publication History

ABSTRACT

Placement is one of the most critical stages in the physical synthesis flow. Circuits with increasing numbers of cells of multi-row height have brought challenges to traditional placers on efficiency and effectiveness. Furthermore, constraints on fence region and routability (e.g., edge spacing, pin access/short) should be considered, besides providing an overlap-free solution close to the global placement (GP) solution and fulfilling the power and ground (P/G) alignments. In this paper, we propose a legalization method for mixed-cell-height circuits by a window-based cell insertion technique and two post-processing network-flow-based optimizations. Compared with the champion of the IC/CAD 2017 Contest, our algorithm achieves 18% and 12% less average and maximum displacement respectively as well as significantly fewer routability violations. Comparing our algorithm with the state-of-the-art algorithms on this problem, there is a 9% improvement in total displacement with 20% less running time.

References

  1. X. Xu, N. Shah, A. Evans, S. Sinha, B. Cline, and G. Yeric, "Standard cell library design and optimization methodology for ASAP7 PDK," in Proc. ICCAD, 2017, pp. 999--1004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. L. Mattii, D. Milojevic, P. Debacker, Y. Sherazi, M. Berekovic, and P. Raghavan, "IR-drop aware design & technology co-optimization for N5 node with different device and cell height options," in Proc. ICCAD, 2017, pp. 89--94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S.-H. Baek, H.-Y. Kim, Y.-K. Lee, D.-Y. Jin, S.-C. Park, and J.-D. Cho, "Ultra-high density standard cell library using multi-height cell structure," in Proc. SPIE, vol. 7268, 2008.Google ScholarGoogle Scholar
  4. M. P.-H. Lin, C.-C. Hsu, and Y.-T. Chang, "Recent research in clock power saving with multi-bit flip-flops," in Proc. MWSCAS, 2011, pp. 1--4.Google ScholarGoogle Scholar
  5. D. D. Sherlekar, "Cell architecture for increasing transistor size," Jan. 14 2014, uS Patent 8,631,374.Google ScholarGoogle Scholar
  6. Y. Lin, B. Yu, and D. Z. Pan, "Detailed placement in advanced technology nodes: a survey," in Proc. ICSICT, 2016, pp. 836--839.Google ScholarGoogle ScholarCross RefCross Ref
  7. C.-H. Wang, Y.-Y. Wu, J. Chen, Y.-W. Chang, S.-Y. Kuo, W. Zhu, and G. Fan, "An effective legalization algorithm for mixed-cell-height standard cells," in Proc. ASPDAC, 2017, pp. 450--455.Google ScholarGoogle Scholar
  8. P. Spindler, U. Schlichtmann, and F. M. Johannes, "Abacus: fast legalization of standard cell circuits with minimal movement," in Proc. ISPD, 2008, pp. 47--53. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Chen, Z. Zhu, W. Zhu, and Y.-W. Chang, "Toward optimal legalization for mixed-cell-height circuit designs," in Proc. DAC, 2017, pp. 52:1--52:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. B. Yu, X. Xu, J.-R. Gao, Y. Lin, Z. Li, C. Alpert, and D. Z. Pan, "Methodology for standard cell compliance and detailed placement for triple patterning lithography," IEEE TCAD, vol. 34, no. 5, pp. 726--739, May 2015.Google ScholarGoogle ScholarCross RefCross Ref
  11. Y. Lin, B. Yu, Y. Zou, Z. Li, C. J. Alpert, and D. Z. Pan, "Stitch aware detailed placement for multiple e-beam lithography," Integration, the VLSI Journal, vol. 58, pp. 47--54, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  12. W.-K. Chow, C.-W. Pui, and E. F. Y. Young, "Legalization algorithm for multiple-row height standard cell design," in Proc. DAC, 2016, pp. 83:1--83:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Y. Lin, B. Yu, X. Xu, J.-R. Gao, N. Viswanathan, W.-H. Liu, Z. Li, C. J. Alpert, and D. Z. Pan, "MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes," IEEE TCAD, 2017. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Vygen, "Algorithms for detailed placement of standard cells," in Proc. DATE, 1998, pp. 321--324. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Y.-Y. Wu and Y.-W. Chang, "Mixed-cell-height detailed placement considering complex minimum-implant-area constraints," in Proc. ICCAD, 2017, pp. 65--72. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. K. Darav, I. S. Bustany, A. Kennings, and R. Mamidi, "ICCAD-2017 CAD contest in multi-deck standard cell legalization and benchmarks," in Proc. ICCAD, 2017, pp. 867--871. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsis, "ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement," in Proc. ISPD, 2015, pp. 157--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. V. Yutsis, I. S. Bustany, D. Chinnery, J. R. Shinnerl, and W.-H. Liu, "ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement," in Proc. ISPD, 2014, pp. 161--168. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. N. K. Darav, A. Kennings, A. F. Tabrizi, D. Westwick, and L. Behjat, "Eh? placer: a high-performance modern technology-driven placer," ACM TODAES, vol. 21, no. 3, p. 37, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Z. Király and P. Kovács, "Efficient implementations of minimum-cost flow algorithms," arXiv preprint arXiv:1207.6381, 2012.Google ScholarGoogle Scholar
  21. G. Chen, C.-W. Pui, W.-K. Chow, K.-C. Lam, J. Kuang, E. F. Y. Young, and B. Yu, "RippleFPGA: Routability-driven simultaneous packing and placement for modern FPGAs," IEEE TCAD, 2017.Google ScholarGoogle Scholar
  1. Routability-driven and fence-aware legalization for mixed-cell-height circuits

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '18: Proceedings of the 55th Annual Design Automation Conference
      June 2018
      1089 pages
      ISBN:9781450357005
      DOI:10.1145/3195970

      Copyright © 2018 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 24 June 2018

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader