skip to main content
10.1145/3208903.3213778acmconferencesArticle/Chapter ViewAbstractPublication Pagese-energyConference Proceedingsconference-collections
research-article

Modelling and Analysing Conservative Governor of DVFS-enabled Processors

Published:12 June 2018Publication History

ABSTRACT

Dynamic voltage and frequency scaling (DVFS) is a mechanism adopted by major hardware vendors to reduce power demand during times of low processor utilization. However, reducing processor frequency to decrease power demand usually results in degraded services' performance leading to service level agreement violations. Governors, which are a piece of software at kernel level, are devised to exploit the flexibility provided by DVFS technologies of the hardware. Utilization-based governors change frequency and voltage at discrete time instances based on workload's utilization without taking into account performance constraints of services. In this paper, a model for the utilization-based Conservative governor is proposed. The model allows us to predict both service performance (mean response time) and processor power demand. An M/M/1 simulator is presented which is used to validate the accuracy of the proposed model. For model accuracy validation, a second methodology based on the frequency probabilities of the processor is proposed. Both approaches confirm the derived DTMC model. We also carry out a comparison between On-demand and Conservative governors and show that the latter performs better for Markovian workloads.

References

  1. 2007. Power provisioning for a warehouse-sized computer. In Proceedings of the 34th annual international symposium on Computer architecture (ISCA '07). ACM, New York, NY, USA, 13--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. AMD. 2014. Cool'n'Quiet Technology @ONLINE. (Jan. 2014). http://www.amd.com/us/products/technologies/cool-n-quiet/Pages/cool-n-quiet.aspxGoogle ScholarGoogle Scholar
  3. Robert Basmadjian, Florian Niedermeier, and Hermann de Meer. 2016. Modelling Performance and Power Consumption of Utilisation-based DVFS Using M/M/1 Queues. In Proceedings of the Seventh International Conference on Future Energy Systems (e-Energy '16). ACM, New York, NY, USA, Article 14, 11 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. Bertsekas and R. Gallager. 1992. Data Networks. Prentice Hall. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Yuan Chen, Subu Iyer, Xue Liu, Dejan Milojicic, and Akhil Sahai. 2007. SLA decomposition: Translating service level objectives to system level thresholds. In Autonomic Computing, 2007. ICAC'07. Fourth International Conference on. IEEE, 3--3. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Joshua Dennis Booth, Jagadish Kotra, Hui Zhao, Mahmut T. Kandemir, and Padma Raghavan. 2015. Phase Detection with Hidden Markov Models for DVFS on Many-Core Processors. In 2015 IEEE 35th International Conference on Distributed Computing Systems. IEEE.Google ScholarGoogle Scholar
  7. Chen-Ying Hsieh, Jurn-Gyu Park, Nikil D. Dutt, and Sung-Soo Lim. 2015. Memory-aware cooperative CPU-GPU DVFS governor for mobile games.. In ESTImedia. IEEE, 1--8. http://dblp.uni-trier.de/db/conf/estimedia/estimedia2015.html#HsiehPDL15Google ScholarGoogle Scholar
  8. Enhanced Intel. 2004. SpeedStep® Technology for the Intel® Pentium® M Processor White Paper, March 2004. Technical Report. Recovered 30/1/2011 from World Wide Web: ftp://download. intel. com/design/network/papers/30117401. pdf.Google ScholarGoogle Scholar
  9. Shin-Gyu Kim, Hyeonsang Eom, Heon Y. Yeom, and Sang Lyul Min. 2014. Energy-centric DVFS Controlling Method for Multi-core Platforms. Computing 96, 12 (Dec. 2014), 1163--1177. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Etienne Le Sueur and Gernot Heiser. 2010. Dynamic Voltage and Frequency Scaling: The Laws of Diminishing Returns. In Proceedings of the 2010 International Conference on Power Aware Computing and Systems (HotPower'10). USENIX Association, Berkeley, CA, USA, 1--8. http://dl.acm.org/citation.cfm?id=1924920.1924921 Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. David Meisner, Brian T. Gold, and Thomas F. Wenisch. 2009. PowerNap: eliminating server idle power. In Proceedings of the 14th international conference on Architectural support for programming languages and operating systems (ASPLOS XIV). ACM, New York, NY, USA, 205--216. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Jean-Marc Pierson and Henri Casanova. 2011. On the Utility of DVFS for Power-aware Job Placement in Clusters. In Proceedings of the 17th International Conference on Parallel Processing - Volume Part I (Euro-Par'11). Springer-Verlag, Berlin, Heidelberg, 255--266. http://dl.acm.org/citation.cfm?id=2033345.2033372 Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Akhil Sahai, Anna Durante, and Vijay Machiraju. 2002. Towards automated SLA management for web services. Hewlett-Packard Research Report HPL-2001-310 (R. 1) (2002).Google ScholarGoogle Scholar
  14. Kisho S. Trivedi and Robin Sahner. 2009. SHARPE at the Age of Twenty Two. SIGMETRICS Perform. Eval. Rev. 36, 4 (March 2009), 52--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Linlin Wu, Saurabh Kumar Garg, and Rajkumar Buyya. 2011. Sla-based resource allocation for software as a service provider (saas) in cloud computing environments. In Cluster, Cloud and Grid Computing (CCGrid), 2011 11th IEEE/ACM International Symposium on. IEEE, 195--204. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Modelling and Analysing Conservative Governor of DVFS-enabled Processors

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      e-Energy '18: Proceedings of the Ninth International Conference on Future Energy Systems
      June 2018
      657 pages
      ISBN:9781450357678
      DOI:10.1145/3208903

      Copyright © 2018 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 12 June 2018

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate160of446submissions,36%

      Upcoming Conference

      E-Energy '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader