skip to main content
10.1145/3240765.3240766guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

TritonRoute: An Initial Detailed Router for Advanced VLSI Technologies

Published: 05 November 2018 Publication History

Abstract

Detailed routing is a dead-or-alive critical element in design automation tooling for advanced node enablement. However, very few works address detailed routing in the recent open literature, particularly in the context of modern industrial designs and a complete, end-to-end flow. The ISPD-2018 Initial Detailed Routing Contest addressed this gap for modern industrial designs, using a reduced design rules set. In this work, we present TritonRoute, an initial detailed router for the ISPD-2018 contest. Given route guides from global routing, the initial detailed routing stage should generate a detailed routing solution honoring the route guides as much as possible, while minimizing wirelength, via count and various design rule violations. In our work, the key contribution is intra-layer parallel routing, where we partition each layer into parallel panels and route each panel using an Integer Linear Programming-based algorithm. We sequentially route layer by layer from the bottom to the top. We evaluate our router using the official ISPD-2018 benchmark suite and show that we reduce the contest metric by up to 74%, and on average 50%, compared to the first-place routing solution for each testcase.

References

[1]
H.-Y. Chen and Y.-W. Chang, “Global and Detailed Routing”, Chapter 12 in Wang, Chang and Cheng (Eds.), Electronic Design Automation: Synthesis, Verification, and Test, Morgan Kaufmann, 2009, pp. 687–749. http://cc.ee.ntu.edu.tw/ywchang/Courses/PD_Source/EDA_routing.pdf
[2]
T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen and Y.-W. Zhang, “NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs with Preplaced Blocks and Density Constraints”, IEEE Trans. on CAD 27 (7) (2008), pp. 1228–1240.
[3]
C.-K. Cheng, A. B. Kahng, I. Kang and L. Wang, “RePlAce: Advancing Solution Quality and Routability Validation in Global Placement”, IEEE Trans. on CAD, to appear. https://doi.org/10.02109/TCAD.2018.2859220
[4]
Y. Ding, C. Chu and W.-K. Mak, “Self-Aligned Double Patterning Lithography Aware Detailed Routing with Color Preassignment”, IEEE Trans. on CAD 36 (8) (2017), pp. 1381–1394.
[5]
Y. Du, Q. Ma, H. Song, J. Shiely, G. Luk-Pat, A. Miloslavsky and M.D. F. Wong, “Spacer-is-Dielectric-Compliant Detailed Routing for Self-Aligned Double Patterning Lithography”, Proc. DAC, 2013, pp. 1–6.
[6]
A. Feller, “Automatic Layout of Low-Cost Quick-Turnaround Random-Logic Custom LSI Devices”, Proc. DAC, 1976, pp. 79–85.
[7]
G.-R. Gao and D.Z. Pan, “Flexible Self-Aligned Double Patterning Aware Detailed Routing with Prescribed Layout Planning”, Proc. ISPD, 2012, pp. 25–32.
[8]
M. Gester, D. Muller, T. Nieberg, C. Panten, C. Schulte and J. Vygen, “BonnRoute: Algorithms and data structures for fast and good VLSI routing”, ACM TODAES 18 (2) (2013), pp. 32:1–32:24.
[9]
F.O. Hadlock, “A Shortest Path Algorithm for Grid Graphs”, Networks 7 (4) (1977), pp. 323–334.
[10]
K. Han, A.B. Kahng and H. Lee, “Evaluation of BEOL Design Rule Impacts Using an Optimal ILP-Based Detailed Router”, Proc. DAC, 2015, pp. 68:1–68:6.
[11]
D.W. Hightower, “A Solution to Line-Routing Problems on the Continuous Plane”, Proc. DAC, 1969, pp. 1–24.
[12]
L. Kou, G. Markowsky and L. Berman, “A Fast Algorithm for Steiner Trees”, Acta Informatica 15 (2) (1981), pp. 141–145.
[13]
C.Y. Lee, “An Algorithm for Path Connections and Its Applications”, IRE Trans. on Electro. Comp. 10 (3) (1961), pp. 346–365.
[14]
H.K.-S. Leung, “Advanced Routing in Changing Technology Landscape”, Proc. ISPD, 2003, pp. 118–121.
[15]
I.-J. Liu, S.-Y. Fang and Y.-W. Chang, “Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process”, IEEE Trans. on CAD 35 (9) (2016), pp. 1519–1531.
[16]
W.-H. Liu, W.-C. Kao, Y.-L. Li and K.-Y. Chao, “NCTU-GR 2.0: Multithreaded Collision-Aware Global Routing with Bounded-Length Maze Routing”, IEEE Trans. on CAD 32 (5) 2013, pp. 709–722.
[17]
J. Lu, H. Zhuang, P. Chen, H. Chang, C.-C. Chang, Y.-C. Wong, L. Sha, D. Huang, Y. Luo, C.-C. Teng and C.-K. Cheng, “ePlace-MS: Electrostatics-Based Placement for Mixed-Size Circuits”, IEEE Trans. on CAD 34 (5) 2015, pp. 685–698.
[18]
W.K. Luk, “A Greedy Switch-Box Router”, Integration: The VLSI Journal 3 (2) (1985), pp. 129–149.
[19]
S. Mantik, G. Posser, W.-K. Chow, Y. Ding and W.-H. Liu, “ISPD2018 Initial Detailed Routing Contest and Benchmarks”, Proc. ISPD, 2018, pp. 140–143.
[20]
T. Nieberg, “Gridless Pin Access in Detailed Routing”, Proc. DAC, 2011, pp. 170–175.
[21]
N.J. Nilsson, “State-Space Search Methods”, in Problem-Solving Methods in Artificial Intelligence, McGraw-Hill Book Co., 1971, pp. 43–79.
[22]
I. Pohl, “Bi-Directional Search”, Machine Intelligence (1971), pp. 127–140.
[23]
J. Soukup, “Fast Maze Router”, Proc. DAC, 1978, pp. 100–102.
[24]
E. Shragowitz and S. Keel, “A Global Router Based on a Multicommodity Flow Model”, Integration: The VLSI Journal 5 (1) (1987), pp. 3–16.
[25]
P.-S. Tzeng, and C.H. Sequin, “Codar: A Congestion-Directed General Area Router”, Proc. ICCAD, 1988, pp. 30–33.
[26]
X. Xu, B. Yu, J.R. Gao, C.-L. Hsu and D.Z. Pan, “PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning”, ACM TODAES 21 (3) (2016), pp. 42:1–42:21.
[27]
Y. Zhang and C. Chu, “RegularRoute: An Efficient Detailed Router Applying Regular Routing Patterns”, IEEE Trans. on VLSI 21 (9) (2013), pp. 1655–1668.
[28]
B. Schäling, The Boost C++ Libraries, 2nd ed., XML Press, 2014.
[29]
Cadence Innovus User Guide, http://www.cadence.com
[30]
IBM ILOG CPLEX. www.ilog.com/products/cplex/
[32]
OpenMP Architecture Review Board, “OpenMP Application Program Interface, Version 4.0”.
[33]
Synopsys Design Compiler User Guide, http://www.synopsys.com
[34]
W.H. Liu, “ISPD 2018 Initial Detailed Routing Contest and Benchmarks” presentation slides, http://www.ispd.cc/slides/2018/s7_3.pdf

Cited By

View all
  • (2024)SAGERoute 2.0: Hierarchical Analog and Mixed Signal Routing Considering Versatile Routing Scenarios2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546542(1-6)Online publication date: 25-Mar-2024
  • (2024)Applying reinforcement learning to learn best net to rip and re-route in global routingACM Transactions on Design Automation of Electronic Systems10.1145/366428629:4(1-21)Online publication date: 16-May-2024
  • (2024)ILPGRC: ILP-Based Global Routing Optimization With Cell MovementsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330557943:1(352-365)Online publication date: Jan-2024
  • Show More Cited By

Index Terms

  1. TritonRoute: An Initial Detailed Router for Advanced VLSI Technologies
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image Guide Proceedings
        2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
        Nov 2018
        939 pages

        Publisher

        IEEE Press

        Publication History

        Published: 05 November 2018

        Permissions

        Request permissions for this article.

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 20 Jan 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)SAGERoute 2.0: Hierarchical Analog and Mixed Signal Routing Considering Versatile Routing Scenarios2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546542(1-6)Online publication date: 25-Mar-2024
        • (2024)Applying reinforcement learning to learn best net to rip and re-route in global routingACM Transactions on Design Automation of Electronic Systems10.1145/366428629:4(1-21)Online publication date: 16-May-2024
        • (2024)ILPGRC: ILP-Based Global Routing Optimization With Cell MovementsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.330557943:1(352-365)Online publication date: Jan-2024
        • (2023)Legalized Routing Algorithm Based on Linear ProgrammingElectronics10.3390/electronics1220433812:20(4338)Online publication date: 19-Oct-2023
        • (2023)OpenDRC: An Efficient Open-Source Design Rule Checking Engine with Hierarchical GPU Acceleration2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247734(1-6)Online publication date: 9-Jul-2023
        • (2022)TRADERProceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe10.5555/3539845.3540029(766-771)Online publication date: 14-Mar-2022
        • (2022)TRADER: A Practical Track-Assignment-Based Detailed Router2022 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE54114.2022.9774774(766-771)Online publication date: 14-Mar-2022
        • (2022)Challenges and Approaches in VLSI RoutingProceedings of the 2022 International Symposium on Physical Design10.1145/3505170.3511477(185-192)Online publication date: 13-Apr-2022
        • (2022)VLSI Routing Optimization Using Hybrid PSO Based on Reinforcement Learning2022 IEEE VLSI Device Circuit and System (VLSI DCS)10.1109/VLSIDCS53788.2022.9811434(238-243)Online publication date: 26-Feb-2022
        • (2022)Asynchronous Reinforcement Learning Framework and Knowledge Transfer for Net-Order Exploration in Detailed RoutingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.311750541:9(3132-3142)Online publication date: Sep-2022
        • Show More Cited By

        View Options

        View options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media