skip to main content
10.1145/3288599.3288611acmconferencesArticle/Chapter ViewAbstractPublication PagesicdcnConference Proceedingsconference-collections
research-article

Odd-even based adaptive two-way routing in mesh NoCs for hotspot mitigation

Authors Info & Claims
Published:04 January 2019Publication History

ABSTRACT

Network-on-Chip is adapted as a profitable framework for communication in on-chip multiprocessors. Congestion management using adaptive routing techniques become the major research focus in recent days. Hotspots are congested cores in multi-core systems, which has to deal with large amount of packetized data than other cores in the network. When a packet has to pass through hotspots, it will adversely affect the overall system performance. We identify the hotspot cores using counters, and propose an adaptive two-way routing algorithm by restricting some routes as in odd-even turn model to handle the presence of hotspots. The algorithm designed not only de-routes packets from current hotspots, but also reduces the possibility of nearby hotspots formation in the future. Experimental results using SPEC 2006 CPU benchmarks show that the chances of hotspots are large in highly congested traffic, and our algorithm gives about 14% average reduction in packet latency than existing routing methods in presence of hotspot cores.

References

  1. Najla Alfaraj, Junjie Zhang, Yang Xu, and H Jonathan Chao. 2011. Hope: Hotspot congestion control for clos network on chip. In Networks on Chip (NoCS), 2011 Fifth IEEE/ACM International Symposium on. IEEE, 17--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Tobias Bjerregaard and Shankar Mahadevan. 2006. A survey of research and practices of network-on-chip. ACM Computing Surveys (CSUR) 38, 1 (2006), 1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Shubhangi D Chawade, Mahendra A Gaikwad, and Rajendra M Patrikar. 2012. Review of XY routing algorithm for network-on-chip architecture. International Journal of Computer Applications 43, 21 (2012), 975--8887.Google ScholarGoogle Scholar
  4. Ge-Ming Chiu. 2000. The odd-even turn model for adaptive routing. IEEE Transactions on parallel and distributed systems 11, 7 (2000), 729--738. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Stephen Chui. 2016. Congestion aware adaptive routing for Network-on-Chip communication. (2016).Google ScholarGoogle Scholar
  6. William James Dally and Brian Patrick Towles. 2004. Principles and practices of interconnection networks. Elsevier. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Roman Gindin, Israel Cidon, and Idit Keidar. 2007. NoC-based FPGA: architecture and routing. In Proceedings of the First International Symposium on Networks-on-Chip. IEEE Computer Society, 253--264. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Adwait Gupte and Phillip Jones. 2009. Hotspot mitigation using dynamic partial reconfiguration for improved performance. In Reconfigurable Computing and FPGAs, 2009. ReConFig'09. International Conference on. IEEE, 89--94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Ahmed Hemani, Axel Jantsch, Shashi Kumar, Adam Postula, Johnny Oberg, Mikael Millberg, and Dan Lindqvist. 2000. Network on chip: An architecture for billion transistor era. In Proceeding of the IEEE NorChip Conference, Vol. 31. 11.Google ScholarGoogle Scholar
  10. Wei Huang, Shougata Ghosh, Sivakumar Velusamy, Karthik Sankaranarayanan, Kevin Skadron, and Mircea R Stan. 2006. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14, 5 (2006), 501--513. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Nan Jiang, James Balfour, Daniel U Becker, Brian Towles, William J Dally, George Michelogiannakis, and John Kim. 2013. A detailed and flexible cycle-accurate network-on-chip simulator. In Performance Analysis of Systems and Software (ISPASS), 2013 IEEE International Symposium on. IEEE, 86--96.Google ScholarGoogle ScholarCross RefCross Ref
  12. Elena Kakoulli, Vassos Soteriou, and Theocharis Theocharides. 2012. HPRA: A pro-active Hotspot-Preventive high-performance routing algorithm for Networks-on-Chips. In Computer Design (ICCD), 2012 IEEE 30th International Conference on. IEEE, 249--255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Elena Kakoulli, Vassos Soteriou, and Theocharis Theocharides. 2012. Intelligent hotspot prediction for network-on-chip-based multicore systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, 3 (2012), 418--431. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Greg M Link and Narayanan Vijaykrishnan. 2005. Hotspot prevention through runtime reconfiguration in network-on-chip. In Proceedings of the conference on Design, Automation and Test in Europe-Volume 1. IEEE Computer Society, 648--649. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Jacob Murray, Paul Wettin, Ryan Kim, Xinmin Yu, Partha Pratim Pande, Behrooz Shirazi, and Deukhyoun Heo. 2014. Thermal hotspot reduction in mm-wave wireless noc architectures. In Quality Electronic Design (ISQED), 2014 15th International Symposium on. IEEE, 645--652.Google ScholarGoogle ScholarCross RefCross Ref
  16. Erland Nilsson, Mikael Millberg, Johnny Oberg, and Axel Jantsch. 2003. Load distribution with the proximity congestion awareness in a network on chip. In Design, Automation and Test in Europe Conference and Exhibition, 2003. IEEE, 1126--1127. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Umit Y Ogras and Radu Marculescu. 2008. Analysis and optimization of prediction-based flow control in networks-on-chip. ACM Transactions on Design Automation of Electronic Systems (TODAES) 13, 1 (2008), 11. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. RS Reshma Raj, Abhijit Das, and John Jose. 2017. Implementation and analysis of hotspot mitigation in mesh NoCs by cost-effective deflection routing technique. In Very Large Scale Integration (VLSI-SoC), 2017 IFIP/IEEE International Conference on. IEEE, 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  19. Md Farhadur Reza, Dan Zhao, and Hongyi Wu. 2016. Task-resource co-allocation for hotspot minimization in heterogeneous many-core nocs. In Proceedings of the 26th edition on Great Lakes Symposium on VLSI. ACM, 137--140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Han Wang, Yuzhuo Fu, Ting Liu, and Jiafang Wang. 2010. Thermal management via task scheduling for 3D NoC based multi-processor. In SoC Design Conference (ISOCC), 2010 International. IEEE, 440--444.Google ScholarGoogle ScholarCross RefCross Ref
  21. Dong Xiang, Gang Liu, Krishnendu Chakrabarty, and Hideo Fujiwara. 2013. Thermal-aware test scheduling for NOC-based 3D integrated circuits. In Very Large Scale Integration (VLSI-SoC), 2013 IFIP/IEEE 21st International Conference on. IEEE, 96--101.Google ScholarGoogle ScholarCross RefCross Ref
  22. Di Zhu, Lizhong Chen, Timothy M Pinkston, and Massoud Pedram. 2015. TAPP: Temperature-aware application mapping for NoC-based many-core processors. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium, 1241--1244. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Odd-even based adaptive two-way routing in mesh NoCs for hotspot mitigation

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ICDCN '19: Proceedings of the 20th International Conference on Distributed Computing and Networking
      January 2019
      535 pages
      ISBN:9781450360944
      DOI:10.1145/3288599
      • General Chairs:
      • R. C. Hansdah,
      • Dilip Krishnaswamy,
      • Nitin Vaidya

      Copyright © 2019 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 4 January 2019

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader