skip to main content
research-article

Three-dimensional Floorplan Representations by Using Corner Links and Partial Order

Published: 21 December 2018 Publication History

Abstract

Three-dimensional integrated circuit (3D IC) technology offers a potential breakthrough to enable a paradigm-shift strategy, called “more than Moore,” with novel features and advantages over the conventional 2D process technology. By having three-dimensional interconnections, 3D IC provides substantial wirelength reduction and a massive amount of bandwidth, which gives significant performance improvement to overcome many of the nontrivial challenges in semiconductor industry. Moreover, 3D integration technology enables to stack disparate technologies with various functionalities into a single system-in-package (SiP), introducing “true 3D IC” design.
As the first physical design (PD) step, IC floorplanning takes a crucial role to determine IC’s overall design qualities such as footprint area, timing closure, power distribution, thermal management, and so on. However, lack of efficient 3D floorplanning algorithms that practically implement advantages of 3D integration technology is a critical bottleneck for PD automation of 3D IC design and implementation. 3D floorplanning (or packing, block partitioning) is a well-known NP-hard problem, and most of 3D floorplanning algorithms rely on heuristics and iterative improvements. Thus, developing complete and efficient 3D floorplan representations is important, since floorplan representation provides the foundation of data structure to search the solution space for 3D IC floorplanning. A well-defined floorplan representation provides a well-organized and cost-effective methodology to design high-performance 3D IC.
We propose a new 3D IC floorplan representation methodology using corner links and partial order. Given a fixed number of cuboidal blocks and their volume, algorithmic 3D floorplan representations describe topological structure and physical positions/orientations of each block relative to the origin in the 3D floorplan space. In this article, (1) we introduce our novel 3D floorplan representation, called corner links representation, (2) we analyze the equivalence relation between the corner links representation and its corresponding partial order representation, and (3) we discuss several key properties of the corner links representation and partial order representation. The corner links representation provides a complete and efficient structure to assemble the original 3D mosaic floorplan. Also, the corner links representation for the non-degenerate 3D mosaic floorplan can be equivalently expressed by the four trees representation. The partial order representation defines the topological structure of the 3D floorplan with three transitive closure graphs (TCG) for each direction and captures all stitching planes in the 3D floorplan in the order of their respective directions. We demonstrate that the corner links representation can be reduced to its corresponding partial order representation, indicating that the corner links representation shares well-defined and -studied features/properties of 3D TCG-based floorplan representation. If the partial order representation describes relations between any pairs of blocks in the 3D floorplan, then the floorplan is a valid floorplan. We show that the partial order representation can restore the absolute coordinates of all blocks in the 3D mosaic floorplan by using the given physical dimensions of blocks.

References

[1]
G. Baxter. 1964. On fixed points of the composite of commuting functions. Proc. Amer. Math. Soc. 15, 6 (1964), 851--855.
[2]
K. Bernstein, P. Andry, J. Cann, P. Emma, D. Greenberg, W. Haensch, M. Ignatowski, S. Koester, J. Magerlein, R. Puri, and A. Young. 2007. Interconnects in the third dimension: Design challenges for 3D ICs. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’07). 562--567.
[3]
H. H. Chan, S. N. Adya, and I. L. Markov. 2005. Are floorplan representations important in digital design? In Proceedings of the ACM/IEEE International Symposium on Physical Design (ISPD’05). 129--136.
[4]
W.-T. J. Chan, Y. Du, A. B. Kahng, S. Nath, and K. Samadi. 2015. 3D-IC benefit estimation and implementation guidance from 2D-IC implementation. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’15). 1--6.
[5]
K. Chang, K. Acharya, S. Sinha, B. Cline, G. Yeric, and S. K. Lim. 2017. Impact and design guideline of monolithic 3D IC at the 7-nm technology node. IEEE Trans. Very Large Scale Integr. Syst. 25, 7 (2017), 2118--2129.
[6]
C.-K. Cheng, P. Du, A. B. Kahng, and S.-H. Weng. 2012. Low-power gated bus synthesis for 3D IC via rectilinear shortest-path steiner graph. In Proceedings of the ACM/IEEE International Symposium on Physical Design (ISPD’12). 105--112.
[7]
L. Cheng, L. Deng, and M. D. F. Wong. 2005. Floorplanning for 3D VLSI design. In Proceedings of the ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC’05). 405--411.
[8]
F. R. K Chung, R. L. Graham, V. E. Hoggatt Jr., and M. Kleiman. 1978. The number of Baxter permutations. J. Combinator. Theory, Ser. A 24, 3 (1978), 382--394.
[9]
J. Cong, G. Luo, J. Wei, and Y. Zhang. 2007. Thermal-aware 3D IC placement via transformation. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’07). 780--785.
[10]
J. Cong and Y. Ma. 2010. Thermal-aware 3D floorplan. In Three-Dimensional Integrated Circuit Design—EDA, Design and Microarchitectures, Y. Xie, J. Cong, and S. Sapatnekar (Eds.). Springer, New York, NY, Chap. 4, 63--102.
[11]
T. H. Cormen, C. E. Leiserson, R. L. Rivest, and C. Stein. 2009. Introduction to Algorithms (3rd ed.). The MIT Press, Cambridge, MA.
[12]
S. Dulucq and O. Guibert. 1998. Baxter permutations 1. Discrete Math. 180, 1 (1998).
[13]
J. A. Fill and R. P. Dobrow. 1997. The number of m-ary search trees on n keys. Combinator. Probabil. Comput. 6, 4 (1997), 435--453.
[14]
R. Fischbach, J. Lienig, and M. Thiele. 2010. Solution space investigation and comparison of modern data structures for heterogeneous 3D designs. In Proceedings of the IEEE International Conference on 3D System Integration (3DIC’10). 1--8.
[15]
K. Fujiyoshi, H. Kawai, and K. Ishihara. 2009. A tree-based novel representation for 3D-block packing. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 28, 5 (2009), 759--764.
[16]
B. Goplen and S. S. Sapatnekar. 2007. Placement of 3D ICs with thermal and interlayer via considerations. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’07). 626--631.
[17]
P. Hilton and J. Pedersen. 1991. Catalan numbers, their generalization, and their uses. Math. Intell. 13, 2 (1991), 64--75.
[18]
X. Hong, G. Huang, Y. Cai, J. Gu, S. Dong, C.-K. Cheng, and J. Gu. 2000. Corner block list: An effective and efficient topological representation of non-slicing floorplan. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD’00). 8--12.
[19]
X. Hu, P. Du, J. F. Buckwalter, and C.-K. Cheng. 2013. Modeling and analysis of power distribution networks in 3D ICs. IEEE Trans. Very Large Scale Integr. Syst. 21, 2 (2013), 354--366.
[20]
G. Huang, M. Bakir, A. Naeemi, H. Chen, and J. D. Meindl. 2007. Power delivery for 3D chip stacks: Physical modeling and design implication. In Proceedings of the IEEE Electrical Performance of Electronic Packaging and Systems (EPEPS’07). 205--208.
[21]
Hybrid Memory Cube. 2016. Retrieved from http://www.hybridmemorycube.org/.
[22]
M. Jung, T. Song, Y. Wan, Y.-J. Lee, D. Mohapatra, H. Wang, G. Taylor, D. Jariwala, V. Pitchumani, P. Morrow, C. Webb, P. Fischer, and S. K. Lim. 2013. How to reduce power in 3D IC designs: A case study with OpenSPARC T2 core. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC’13). 1--4.
[23]
A. K. Khan, R. Vatsa, S. Roy, and B. Das. 2014. A new efficient topological structure for floorplanning in 3D VLSI physical design. In Proceedings of the IEEE International Advance Computing Conference (IACC’14). 696--701.
[24]
D. H. Kim and S. K. Lim. 2015. Physical design and CAD tools for 3D integrated circuits: Challenges and opportunities. IEEE Design Test Comput. 32, 4 (2015), 8--22.
[25]
D. A. Klarner. 1970. Correspondences between plane trees and binary sequences. J. Combinator. Theory 9, 4 (1970), 401--411.
[26]
J. Knechtel and J. Lienig. 2016. Physical design automation for 3D chip stacks—Challenges and solutions. In Proceedings of the ACM/IEEE International Symposium on Physical Design (ISPD’16). 3--10.
[27]
J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, C. S. Patel, R. J. Polastre, K. Sakuma, E. S. Sprogis, C. K. Tsang, B. C. Webb, and S. L. Wright. 2008. 3D silicon integration. In Proceedings of the IEEE Electronic Components and Technology Conference. 538--543.
[28]
E. Lawler. 1976. Combinatorial Optimization: Networks and Matroids (1st ed.). Holt, Rinehart and Winston, New York, NY.
[29]
J.-M. Lin and Y.-W. Chang. 2001. TCG: A transitive closure graph-based representation for non-slicing floorplans. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’01). 764--769.
[30]
S. Lin and D. J. Costello, Jr. 2004. Error Control Coding (2nd ed.). Pearson, Upper Saddle River, NJ.
[31]
J. Lu, H. Zhuang, I. Kang, and C.-K. Cheng. 2016. ePlace-3D: Electrostatics-based placement for 3D-ICs. In Proceedings of the ACM/IEEE International Symposium on Physical Design (ISPD’16). 11--18.
[32]
Y. Ma, X. Hong, S. Dong, and C.-K. Cheng. 2005. 3D CBL: An efficient algorithm for general 3D packing problems. In Proceedings of the IEEE International Midwest Symposium on Circuits and Systems (MWSCAS’05). 1079--1082.
[33]
J. K. Ousterhout. 1984. Corner stitching: A data-structuring technique for VLSI layout tools. IEEE Trans. Comput. Aided Design Integr. Circ. Syst. 3, 1 (1984), 87--100.
[34]
J. K. Ousterhout, G. T. Hamachi, R. N. Mayo, W. S. Scott, and G. S. Taylor. 1985. The magic VLSI layout system. IEEE Design Test Comput. 2, 1 (1985), 19--30.
[35]
S. Panth, K. Samadi, Y. Du, and S. K. Lim. 2008. Design and CAD methodologies for low power gate-level monolithic 3D ICs. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED’08). 171--176.
[36]
S. S. Sapatnekar. 2009. Addressing thermal and power delivery bottlenecks in 3D circuits. In Proceedings of the ACM/IEEE Asia and South Pacific Design Automation Conference (ASP-DAC’09). 423--428.
[37]
A. Shayan, X. Hu, H. Peng, C.-K. Cheng, W. Yu, M. Popovich, T. Toms, and X. Chen. 2009. Reliability aware through silicon via planning for 3D stacked ICs. In Proceedings of the ACM/IEEE Design, Automation and Test in Europe (DATE’09). 288--291.
[38]
T. Song, A. Nieuwoudt, Y. S. Yu, and S. K. Lim. 2015. Coupling capacitance in face-to-face (F2F) bonded 3D ICs: Trends and implications. In Proceedings of the IEEE Electronic Components and Technology Conference. 529--536.
[39]
M. M. Waldrop. 2016. The chips are down for Moore’s law. Nature 530, 7589 (2016), 144--147.
[40]
R. Wang, E. F. Y. Young, and C.-K. Cheng. 2009. Representing topological structures for 3D floorplanning. In Proceedings of the IEEE International Conference of Communications, Circuits and Systems (ICCCAS’09). 1098--1102.
[41]
R. Wang, E. F. Y. Young, and C.-K. Cheng. 2010. Complexity of 3D floorplans by analysis of graph cuboidal dual hardness. ACM Trans. Design Automat. Electron. Syst. 15, 4 (2010), article 33.
[42]
R. Wang, E. F. Y. Young, Y. Zhu, F. C. Graham, R. Graham, and C.-K. Cheng. 2008. 3D floorplanning using labeled tree and dual sequences. In Proceedings of the ACM/IEEE International Symposium on Physical Design (ISPD’08). 54--59.
[43]
R. Widialaksono, R. B. R. Chowdhury, Z. Zhang, J. Schabel, S. Lipa, E. Rotenberg, R. Davis, and P. Franzon. 2016. Physical design of a 3D-stacked heterogeneous multi-core processor. In Proceedings of the IEEE International Conference on 3D System Integration (3DIC’16). 1--5.
[44]
G.-M. Wu, J.-M. Lin, and Y.-W. Chang. 2001. An algorithm for dynamically reconfigurable FPGA placement. In >Proceedings of IEEE International Conference on Computer Design (ICCD’01). 501--504.
[45]
H. Yamazaki, K. Sakanushi, S. Nakatake, and Y. Kajitani. 2000. The 3D-packing by meta data structure and packing heuristics. IEICE Trans. Fund. Electron. Commun. Comput. Sci. 83, 4 (2000), 639--645.
[46]
B. Yao, H. Chen, C.-K. Cheng, and R. Graham. 2003. Floorplan representations: Complexity and connections. ACM Trans. Design Automat. Electron. Syst. 8, 1 (2003), 55--80.
[47]
Yole Développement. 2015. Equipment 8 Materials for 3DIC 8 WLP Applications. Retrieved from http://www.yole.fr/2014-galery-3D.aspx#I000350e1.
[48]
E. F. Y. Young, C. C. N. Chu, and Z. C. Shen. 2003. Twin binary sequences: A nonredundant representation for general nonslicing floorplan. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 22, 4 (2003), 457--469.
[49]
P.-H. Yuh, C.-L. Yang, and Y.-W. Chang. 2004. Temporal floorplanning using the T-tree formulation. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD’04). 300--305.
[50]
P.-H. Yuh, C.-L. Yang, and Y.-W. Chang. 2007. Temporal floorplanning using the three-dimensional transitive closure subgraph. ACM Trans. Design Automat. Electron. Syst. 12, 4 (2007), article 37.
[51]
L. Zhang, S. Dong, X. Hong, and Y. Ma. 2007. A fast 3D-BSG algorithm for 3D packing problem. In Proceedings of the IEEE International Symposium of Circuits and Systems (ISCAS’07). 2044--2047.
[52]
W. Zhang, W. Yu, X. Hu, A. Shayan, A. E. Engin, and C.-K. Cheng. 2009. Predicting the worst-case voltage violation in a 3D power network. In Proceedings of the ACM/IEEE System Level Interconnect Prediction (SLIP’09). 93--98.
[53]
H. Zhou and J. Wang. 2004. ACG-adjacent constraint graph for general floorplans. In Proceedings of IEEE International Conference on Computer Design (ICCD’04). 572--575.

Cited By

View all
  • (2024)A new representation in 3D VLSI floorplan: 3D O-TreeGenetic Programming and Evolvable Machines10.1007/s10710-024-09485-325:1Online publication date: 1-Apr-2024
  • (2023)Research on 3D Integrated Circuit Layout Planning Model Based on Genetic Algorithm2023 International Conference on Power, Electrical Engineering, Electronics and Control (PEEEC)10.1109/PEEEC60561.2023.00075(354-358)Online publication date: 25-Sep-2023
  • (2022)Three-Dimensional Flexible-Module Placement for Stacked Three-Dimensional Integration2022 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS48785.2022.9937819(3260-3264)Online publication date: 28-May-2022

Index Terms

  1. Three-dimensional Floorplan Representations by Using Corner Links and Partial Order

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 1
      January 2019
      309 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3293467
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 21 December 2018
      Accepted: 01 October 2018
      Revised: 01 September 2018
      Received: 01 April 2018
      Published in TODAES Volume 24, Issue 1

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. 3D IC design
      2. 3D floorplan
      3. 3D integrated circuit
      4. floorplan representation

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)19
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 01 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)A new representation in 3D VLSI floorplan: 3D O-TreeGenetic Programming and Evolvable Machines10.1007/s10710-024-09485-325:1Online publication date: 1-Apr-2024
      • (2023)Research on 3D Integrated Circuit Layout Planning Model Based on Genetic Algorithm2023 International Conference on Power, Electrical Engineering, Electronics and Control (PEEEC)10.1109/PEEEC60561.2023.00075(354-358)Online publication date: 25-Sep-2023
      • (2022)Three-Dimensional Flexible-Module Placement for Stacked Three-Dimensional Integration2022 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS48785.2022.9937819(3260-3264)Online publication date: 28-May-2022

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media