skip to main content
10.1145/3299874.3317995acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Towards Optimizing Refresh Energy in embedded-DRAM Caches using Private Blocks

Authors Info & Claims
Published:13 May 2019Publication History

ABSTRACT

In recent years, the increased working set size of applications craves for more memory demand in terms of large size Last Level Caches (LLC). To fulfill this, embedded DRAM (eDRAM) caches have been considered as one of the best alternatives over conventional SRAM caches. eDRAM has a property of low leakage and provides more capacity in the same area footprint of SRAM. However, its retention period consumes significant refresh energy in the periodic refresh. In this paper, we present an approach to minimize the total energy spent on refreshes by considering the presence of private blocks in the LLC. Our approach restricts refreshing of those blocks that are loaded exclusively from the main memory on an LLC miss. Experimental result using full system simulation show 55% reduction in the total number of refreshes compared to baseline policy; and 62% reduction in total power consumption over SRAM.

References

  1. A. Agrawal et al. 2013. Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies. In HPCA-2013. 400--411. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Agrawal et al. 2014. Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules. In HPCA-2014. 84--95.Google ScholarGoogle Scholar
  3. C. Bienia et al. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In PACT-2008. 72--81. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Barth et al. 2008. A 500 MHz Random Cycle, 1.5 ns Latency, SOI Embedded DRAM Macro Featuring a Three-Transistor Micro Sense Amplifier. IEEE Journal of Solid-State Circuits 43, 1 (Jan 2008), 86--95.Google ScholarGoogle ScholarCross RefCross Ref
  5. J. Kong et al. 2017. Towards refresh-optimized EDRAM-based caches with a selective fine-grain round-robin refresh scheme. Microprocessors and Microsystems 49 (2017), 95--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Liu et al. 2012. RAIDR: Retention-aware intelligent DRAM refresh. In ISCA-2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Mohammad Alizadeh et al. 2012. Versatile refresh: low complexity refresh scheduling for high-throughput multi-banked eDRAM. In ACM SIGMETRICS'12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Lodde et al. 2012. Dynamic Last-Level Cache Allocation to Reduce Area and Power Overhead in Directory Coherence Protocols. In Euro-Par 2012 Parallel Processing. Springer Berlin Heidelberg, Berlin, Heidelberg, 206--218. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. T. Chang et al. 2013. Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM. In HPCA-2013. 143--154. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. N. Binkert et al. 2011. The gem5 simulator. ACM SIGARCH Computer Architecture News 39, 2 (2011), 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. N. Muralimanohar et al. 2009. CACTI 6.0: A tool to model large caches. HP laboratories (2009), 22--31.Google ScholarGoogle Scholar
  12. P. G. Emma et al. 2008. Rethinking Refresh: Increasing Availability and Reducing Power in DRAM for Cache Applications. IEEE Micro 28, 6 (Nov 2008), 47--56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. S. Agarwal et al. 2018. Reuse-Distance-Aware Write-Intensity Prediction of Dataless Entries for Energy-Efficient Hybrid Caches. IEEE T-VLSI) Systems 26, 10 (Oct 2018), 1881--1894.Google ScholarGoogle Scholar
  14. S. Mittal et al. 2017. DESTINY: A Comprehensive Tool with 3D and Multi-Level Cell Memory Modeling Capability. JoLPE 7, 3 (2017).Google ScholarGoogle Scholar
  15. Z. Jaksic and R. Canal. 2014. DRAM-based coherent caches and how to take advantage of the coherence protocol to reduce the refresh energy. In DATE-2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Mittal. 2013. A Cache Reconfiguration Approach for Saving Leakage and Refresh Energy in Embedded DRAM Caches. CoRR abs/1309.7082 (2013).Google ScholarGoogle Scholar
  17. W. R. Reohr. 2006. Memories: Exploiting Them and Developing Them, 303--310 pages.Google ScholarGoogle Scholar

Index Terms

  1. Towards Optimizing Refresh Energy in embedded-DRAM Caches using Private Blocks

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '19: Proceedings of the 2019 on Great Lakes Symposium on VLSI
      May 2019
      562 pages
      ISBN:9781450362528
      DOI:10.1145/3299874

      Copyright © 2019 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 May 2019

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader