skip to main content
10.1145/3299874.3319338acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
panel

Right-Provisioned IoT Edge Computing: An Overview

Published: 13 May 2019 Publication History

Abstract

Edge computing on the Internet of Things (IoT) is an increasingly popular paradigm in which computation is moved closer to the data source (i.e., edge devices). Edge computing mitigates the overheads of cloud-based computing arising from increased response time, communication bandwidth, data security and privacy, energy consumption, etc. However, given the potentially stringent resource constraints and functional requirements of emerging IoT devices, edge computing must neither be over- or under-provisioned for its stated purpose. In this paper, we present an overview of the problem of right-provisioned IoT edge computing, wherein IoT devices are equipped with resources that are 'just enough,' even when 'just enough' may not be clearly defined at design time. We highlight a few research directions and key challenges that must be addressed to enable right-provisioned IoT edge computing.

References

[1]
H. Sundmaeker, P. Guillemin, P. Friess, and S. Woelfflé, Vision and challenges for realising the Internet of Things. hskip 1em plus 0.5em minus 0.4emrelax EUR-OP, 2010, vol. 20, no. 10.
[2]
B. Varghese, N. Wang, S. Barbhuiya, P. Kilpatrick, and D. S. Nikolopoulos, “Challenges and opportunities in edge computing,” in 2016 IEEE International Conference on Smart Cloud (SmartCloud). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2016, pp. 20--26.
[3]
F. Samie, L. Bauer, and J. Henkel, “Iot technologies for embedded computing: A survey,” in Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2016, p. 8.
[4]
P. Mach and Z. Becvar, “Mobile edge computing: A survey on architecture and computation offloading,” IEEE Communications Surveys & Tutorials, vol. 19, no. 3, pp. 1628--1656, 2017.
[5]
J.-E. Kim, T. Abdelzaher, L. Sha, A. Bar-Noy, R. Hobbs, and W. Dron, “On maximizing quality of information for the internet of things: A real-time scheduling perspective,” in 2016 IEEE 22nd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2016, pp. 202--211.
[6]
T. Savolainen, J. Soininen, and B. Silverajan, “Ipv6 addressing strategies for iot,” IEEE Sensors Journal, vol. 13, no. 10, pp. 3511--3519, 2013.
[7]
S. Al-Sarawi, M. Anbar, K. Alieyan, and M. Alzubaidi, “Internet of things (iot) communication protocols,” in 2017 8th International Conference on Information Technology (ICIT). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2017, pp. 685--690.
[8]
C. Shi, J. Liu, H. Liu, and Y. Chen, “Smart user authentication through actuation of daily activities leveraging wifi-enabled iot,” in Proceedings of the 18th ACM International Symposium on Mobile Ad Hoc Networking and Computing. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2017, p. 5.
[9]
S.-T. Khang, J. W. Yu, and W.-S. Lee, “Compact folded dipole rectenna with rf-based energy harvesting for iot smart sensors,” Electronics Letters, vol. 51, no. 12, pp. 926--928, 2015.
[10]
T. Adegbija, A. Rogacs, C. Patel, and A. Gordon-Ross, “Microprocessor optimizations for the internet of things: A survey,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017.
[11]
Y. Mao, C. You, J. Zhang, K. Huang, and K. B. Letaief, “A survey on mobile edge computing: The communication perspective,” IEEE Communications Surveys & Tutorials, vol. 19, no. 4, pp. 2322--2358, 2017.
[12]
T. Adegbija, A. Rogacs, C. Patel, and A. Gordon-Ross, “Enabling right-provisioned microprocessor architectures for the internet of things,” in International Mechanical Engineering Congress and Exposition. hskip 1em plus 0.5em minus 0.4emrelax ASME, 2015.
[13]
N. D. Lane, S. Bhattacharya, P. Georgiev, C. Forlivesi, and F. Kawsar, “An early resource characterization of deep learning on wearables, smartphones and internet-of-things devices,” in Proceedings of the 2015 international workshop on internet of things towards applications. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2015, pp. 7--12.
[14]
Y. Yamaga, Y. Deguchi, S. Fukuyama, and K. Takeuchi, “5x reliability enhanced 40nm taox approximate-reram with domain-specific computing for real-time image recognition of iot edge devices,” in 2018 IEEE Symposium on VLSI Technology. hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2018, pp. 109--110.
[15]
S. Lerner and B. Taskin, “Workload-aware asic flow for lifetime improvement of multi-core iot processors,” in 2017 18th International Symposium on Quality Electronic Design (ISQED). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2017, pp. 379--384.
[16]
Z. Wang, Y. Liu, Y. Sun, Y. Li, D. Zhang, and H. Yang, “An energy-efficient heterogeneous dual-core processor for internet of things,” in 2015 IEEE international symposium on circuits and systems (ISCAS). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2015, pp. 2301--2304.
[17]
H. Jayakumar, K. Lee, W. S. Lee, A. Raha, Y. Kim, and V. Raghunathan, “Powering the internet of things,” in Proceedings of the 2014 international symposium on Low power electronics and design. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2014, pp. 375--380.
[18]
G. Martin and G. Smith, “High-level synthesis: Past, present, and future,” IEEE Design & Test of Computers, vol. 26, no. 4, pp. 18--25, 2009.
[19]
A. Agarwal, M. C. Ng et al., “A comparative evaluation of high-level hardware synthesis using reed--solomon decoder,” IEEE Embedded Systems Letters, vol. 2, no. 3, pp. 72--76, 2010.
[20]
A. M. Rahmani, P. Liljeberg, J.-S. Preden, and A. Jantsch, Fog computing in the internet of things: Intelligence at the edge. hskip 1em plus 0.5em minus 0.4emrelax Springer, 2017.
[21]
K. Kumar and Y.-H. Lu, “Cloud computing for mobile users: Can offloading computation save energy?” Computer, no. 4, pp. 51--56, 2010.
[22]
Y. Wang, M. Sheng, X. Wang, L. Wang, and J. Li, “Mobile-edge computing: Partial computation offloading using dynamic voltage scaling,” IEEE Transactions on Communications, vol. 64, no. 10, pp. 4268--4282, 2016.
[23]
S. Dey, A. Mukherjee, A. Pal, and P. Balamuralidhar, “Partitioning of cnn models for execution on fog devices,” in Proceedings of the 1st ACM International Workshop on Smart Cities and Fog Computing. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2018, pp. 19--24.
[24]
M. Xu, F. Qian, and S. Pushp, “Enabling cooperative inference of deep learning on wearables and smartphones,” arXiv preprint arXiv:1712.03073, 2017.
[25]
I. Verbauwhede, “Vlsi design methods for low power embedded encryption,” in 2016 International Great Lakes Symposium on VLSI (GLSVLSI). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2016, pp. 7--7.
[26]
T. Xu, J. B. Wendt, and M. Potkonjak, “Security of iot systems: Design challenges and opportunities,” in Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design. hskip 1em plus 0.5em minus 0.4emrelax IEEE Press, 2014, pp. 417--423.
[27]
S. D. Kumar, H. Thapliyal, and A. Mohammad, “Ee-spfal: A novel energy-efficient secure positive feedback adiabatic logic for dpa resistant rfid and smart card,” IEEE Transactions on Emerging Topics in Computing, 2016.
[28]
N. A. Carreon, S. Lu, and R. Lysecky, “Hardware-based probabilistic threat detection and estimation for embedded systems,” in 2018 IEEE 36th International Conference on Computer Design (ICCD). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2018, pp. 522--529.
[29]
H. Jayakumar, A. Raha, Y. Kim, S. Sutar, W. S. Lee, and V. Raghunathan, “Energy-efficient system design for iot devices,” in 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2016, pp. 298--301.
[30]
C. Pan, M. Xie, and J. Hu, “Enzyme: An energy-efficient transient computing paradigm for ultralow self-powered iot edge devices,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 11, pp. 2440--2450, Nov 2018.
[31]
J. Lee, Y. Zhang, Q. Dong, W. Lim, M. Saligane, Y. Kim, S. Jeong, J. Lim, M. Yasuda, S. Miyoshi, M. Kawaminami, D. Blaauw, and D. Sylvester, “19.2 a 6.4pj/cycle self-tuning cortex-m0 iot processor based on leakage-ratio measurement for energy-optimal operation across wide-range pvt variation,” in 2019 IEEE International Solid- State Circuits Conference - (ISSCC), Feb 2019, pp. 314--315.
[32]
M. B. Taylor, “Is dark silicon useful? harnessing the four horsemen of the coming dark silicon apocalypse,” in DAC Design Automation Conference 2012. hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2012, pp. 1131--1136.
[33]
S. Yue, D. Zhu, Y. Wang, and M. Pedram, “Reinforcement learning based dynamic power management with a hybrid power supply,” in 2012 IEEE 30th International Conference on Computer Design (ICCD), Sep. 2012, pp. 81--86.
[34]
D. Bortolotti, H. Mamaghanian, A. Bartolini, M. Ashouei, J. Stuijt, D. Atienza, P. Vandergheynst, and L. Benini, “Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor,” in Proceedings of the 2014 international symposium on Low power electronics and design. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2014, pp. 45--50.
[35]
X. Li, K. Ma, S. George, J. Sampson, and V. Narayanan, “Enabling internet-of-things with opportunities brought by emerging devices, circuits and architectures,” in IFIP/IEEE International Conference on Very Large Scale Integration-System on a Chip. hskip 1em plus 0.5em minus 0.4emrelax Springer, 2016, pp. 1--23.
[36]
K. Ma, X. Li, J. Li, Y. Liu, Y. Xie, J. Sampson, M. T. Kandemir, and V. Narayanan, “Incidental computing on iot nonvolatile processors,” in Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. hskip 1em plus 0.5em minus 0.4emrelax ACM, 2017, pp. 204--218.
[37]
M. Ueki, K. Takeuchi, T. Yamamoto, A. Tanabe, N. Ikarashi, M. Saitoh, T. Nagumo, H. Sunamura, M. Narihiro, K. Uejima et al., “Low-power embedded reram technology for iot applications,” in 2015 Symposium on VLSI Technology (VLSI Technology). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2015, pp. T108--T109.
[38]
M. Ghoneim and M. Hussain, “Review on physically flexible nonvolatile memory for internet of everything electronics,” Electronics, vol. 4, no. 3, pp. 424--479, 2015.
[39]
H. Zhang, C. Zhang, Q. Hu, C. Yang, and J. Shu, “Performance analysis on structure of racetrack memory,” in 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC). hskip 1em plus 0.5em minus 0.4emrelax IEEE, 2018, pp. 367--374.
[40]
K. Kuan and T. Adegbija, “Lars: Logically adaptable retention time sttram cache for embedded systems,” in Design, Automation & Test in Europe Conference & Exhibition, 2018. DATE'18.hskip 1em plus 0.5em minus 0.4emrelax IEEE Computer Society, 2018.

Cited By

View all
  • (2024)Dynamic load balancing of traffic in the IoT edge computing environment using a clustering approach based on deep learning and genetic algorithmsCluster Computing10.1007/s10586-024-04798-528:2Online publication date: 26-Nov-2024
  • (2023)Decoding the Interplay Between Latency, Reliability, Cost, and Energy While Provisioning Resources in Fog-Computing-Enabled IoT NetworksIEEE Internet of Things Journal10.1109/JIOT.2022.321187210:3(2404-2416)Online publication date: 1-Feb-2023
  • (2022)A Framework For Critical Infrastructure Monitoring Based On Deep Reinforcement Learning Approach2022 5th Information Technology for Education and Development (ITED)10.1109/ITED56637.2022.10051520(1-6)Online publication date: 1-Nov-2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
GLSVLSI '19: Proceedings of the 2019 Great Lakes Symposium on VLSI
May 2019
562 pages
ISBN:9781450362528
DOI:10.1145/3299874
Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 May 2019

Check for updates

Author Tags

  1. adaptable computing
  2. computation migration
  3. edge computing
  4. high-level synthesis
  5. internet of things
  6. iot security.
  7. low-power embedded systems
  8. memory hierarchy
  9. right-provisioned

Qualifiers

  • Panel

Conference

GLSVLSI '19
Sponsor:
GLSVLSI '19: Great Lakes Symposium on VLSI 2019
May 9 - 11, 2019
VA, Tysons Corner, USA

Acceptance Rates

Overall Acceptance Rate 312 of 1,156 submissions, 27%

Upcoming Conference

GLSVLSI '25
Great Lakes Symposium on VLSI 2025
June 30 - July 2, 2025
New Orleans , LA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)0
Reflects downloads up to 18 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Dynamic load balancing of traffic in the IoT edge computing environment using a clustering approach based on deep learning and genetic algorithmsCluster Computing10.1007/s10586-024-04798-528:2Online publication date: 26-Nov-2024
  • (2023)Decoding the Interplay Between Latency, Reliability, Cost, and Energy While Provisioning Resources in Fog-Computing-Enabled IoT NetworksIEEE Internet of Things Journal10.1109/JIOT.2022.321187210:3(2404-2416)Online publication date: 1-Feb-2023
  • (2022)A Framework For Critical Infrastructure Monitoring Based On Deep Reinforcement Learning Approach2022 5th Information Technology for Education and Development (ITED)10.1109/ITED56637.2022.10051520(1-6)Online publication date: 1-Nov-2022
  • (2022)Edge Computing for Critical Infrastructure Delay Sensitive Applications: Current Trends and Future Directions2022 Applied Informatics International Conference (AiIC)10.1109/AiIC54368.2022.9914576(201-206)Online publication date: 18-May-2022
  • (2021)Reconfigurable Microarchitecture-Based PMDC Prototype Development for IoT Edge Computing UtilizationIEEE Sensors Journal10.1109/JSEN.2020.302036221:2(2334-2345)Online publication date: 15-Jan-2021
  • (2021)Leveraging Artificial Intelligence of Things for Anomaly Detection in Advanced Metering Infrastructures2020 IEEE 2nd International Conference on Cyberspac (CYBER NIGERIA)10.1109/CYBERNIGERIA51635.2021.9428792(16-20)Online publication date: 23-Feb-2021
  • (2021)Systematic Approach for State-of-the-Art Architectures and System-on-Chip Selection for Heterogeneous IoT ApplicationsIEEE Access10.1109/ACCESS.2021.30556509(25594-25622)Online publication date: 2021
  • (2020)SURVEY ON SYSTEMS ARCHITECTURE FOR INTERNET OF THINGS (IoT)i-manager’s Journal on Software Engineering10.26634/jse.15.1.1781815:1(23)Online publication date: 2020

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media