skip to main content
10.1145/3299874.3319488acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Test and Design-for-Testability Solutions for Monolithic 3D Integrated Circuits

Published:13 May 2019Publication History

ABSTRACT

M3D integration can result in reduced area and higher performance when compared to 3D die stacking. Due to the benefits of M3D integration, there is growing interest in industry towards the adoption of this technology. However, test challenges for M3D integration have remained largely unexplored. We present three key test challenges for M3D integration: (i) performance variations due to high-density integration, (ii) defect analysis and modeling, and (iii) defect isolation and yield enhancement. For each test challenge, we motivate the need to study its impact on an M3D IC, analyze the effectiveness of existing test solutions, and propose new solutions.

References

  1. {n. d.}. International Technology Roadmap for Semiconductors. http:??www.itrs2.net?. ({n. d.}).Google ScholarGoogle Scholar
  2. D. H. Kim et al. 2015. Design and Analysis of 3D-MAPS (3D Massively Parallel Processor with Stacked Memory). IEEE Trans. Comput., Vol. 64, 1 (Jan 2015), 112--125.Google ScholarGoogle ScholarCross RefCross Ref
  3. K. Arabi et al. 2015. 3D VLSI: A Scalable Integration Beyond 2D. In ISPD. 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. O. Billoint et al. 2015. A Comprehensive Study of Monolithic 3D Cell on Cell Design Using Commercial 2D Tool. In DATE. 1192--1196. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. O. D. Patterson et al. 2006. Detection of Resistive Shorts and Opens using Voltage Contrast Inspection. In Advanced Semiconductor Manufacturing Conference. 327--333.Google ScholarGoogle ScholarCross RefCross Ref
  6. P. Batude et al. 2012. 3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS. IEEE J. Emerging and Selected Topics in Circuits and Sys., Vol. 2, 4 (Dec 2012), 714--722.Google ScholarGoogle Scholar
  7. P. Batude et al. 2012. 3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS. IEEE JETCAS, Vol. 2, 4 (Dec 2012), 714--722.Google ScholarGoogle ScholarCross RefCross Ref
  8. P. Batude et al. 2015. 3DVLSI with CoolCube process: An alternative path to scaling. In VLSIT. T48--T49.Google ScholarGoogle Scholar
  9. P. Coudrain et al. 2013. 3D Integration of CMOS image sensor with coprocessor using TSV last and micro-bumps technologies. In ECTC. 674--682.Google ScholarGoogle Scholar
  10. S. Bobba et al. 2011. CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits. In ASP-DAC. 336--343. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Kannan et al. 2015. Device performance analysis on 20nm technology thin wafers in a 3D package. In IRPS.Google ScholarGoogle Scholar
  12. J. Rearick. 2015. New Paradigm Shift in 3-D Design and Testing. ITC 3D Test Workshop. (2015).Google ScholarGoogle Scholar
  13. A. Koneru and K. Chakrabarty. 2016. Analysis of Electrostatic Coupling in Monolithic 3D Integrated Circuits and its Impact on Delay Testing. In ETS.Google ScholarGoogle Scholar
  14. A. Koneru and K. Chakrabarty. 2018. An Inter-Layer Interconnect BIST Solution for Monolithic 3D ICs. In VTS. 1--6.Google ScholarGoogle Scholar
  15. Abhishek Koneru, Sukeshwar Kannan, and Krishnendu Chakrabarty. 2016. Impact of Wafer-Bonding Defects on Monolithic 3D Integrated Circuits. In EPEPS.Google ScholarGoogle Scholar
  16. Abhishek Koneru, Sukeshwar Kannan, and Krishnendu Chakrabarty. 2017. A Design-for-Test Solution for Monolithic 3D Integrated Circuits. ICCD, Vol. 00 (2017), 685--688.Google ScholarGoogle Scholar
  17. Abhishek Koneru, Sukeshwar Kannan, and Krishnendu Chakrabarty. 2017. Impact of Electrostatic Coupling and Wafer-Bonding Defects on Delay Testing of Monolithic 3D Integrated Circuits. JETC (2017). Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Koneru, S. Kannan, and K. Chakrabarty. 2017. Testing monolithic three dimensional integrated circuits. (Nov. 2017). US Patent Application no. 15/801,380.Google ScholarGoogle Scholar
  19. A. Koneru, S. Kannan, and K. Chakrabarty. 2018. A Design-for-Test Solution Based on Dedicated Test Layers and Test Scheduling for Monolithic 3D Integrated Circuits. TCAD (2018).Google ScholarGoogle Scholar
  20. Y.-J. Lee and S. K. Lim. 2013. Ultrahigh Density Logic Designs Using Monolithic 3-D Integration. IEEE Trans. CAD (Dec 2013). Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. E. J. Marinissen, T. McLaurin, and Hailong Jiao. 2016. IEEE Std P1838: DfT standard-under-development for 2.5D-, 3D-, and 5.5D-SICs. In ETS. 1--10.Google ScholarGoogle Scholar
  22. S. Panth, K. Samadi, Y. Du, and S. K. Lim. 2014. Power-performance study of block-level monolithic 3D-ICs considering inter-tier performance variations. In DAC. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Test and Design-for-Testability Solutions for Monolithic 3D Integrated Circuits

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          GLSVLSI '19: Proceedings of the 2019 on Great Lakes Symposium on VLSI
          May 2019
          562 pages
          ISBN:9781450362528
          DOI:10.1145/3299874

          Copyright © 2019 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 13 May 2019

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate312of1,156submissions,27%

          Upcoming Conference

          GLSVLSI '24
          Great Lakes Symposium on VLSI 2024
          June 12 - 14, 2024
          Clearwater , FL , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader