skip to main content
10.1145/3316781.3317793acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Cooperative Arithmetic-Aware Approximation Techniques for Energy-Efficient Multipliers

Published: 02 June 2019 Publication History

Abstract

Approximate computing appears as an emerging and promising solution for energy-efficient system designs, exploiting the inherent error-tolerant nature of various applications. In this paper, targeting multiplication circuits, i.e., the energy-hungry counterpart of hardware accelerators, an extensive exploration of the error--energy trade-off, when combining arithmetic-level approximation techniques, is performed for the first time. Arithmetic-aware approximations deliver significant energy reductions, while allowing to control the error values with discipline by setting accordingly a configuration parameter. Inspired from the promising results of prior works with one configuration parameter, we propose 5 hybrid design families for approximate and energy-friendly hardware multipliers, consisting of two independent parameters to tune the approximation levels. Interestingly, the resolution of the state-of-the-art Pareto diagram is improved, giving the flexibility to achieve better energy gains for a specific error constraint imposed by the system. Moreover, we outperform prior works in the field of approximate multipliers by up to 60% energy reduction, and thus, we define the new Pareto front.

References

[1]
S. T. Chakradhar and A. Raghunathan. 2010. Best-Effort Computing: Re-thinking Parallel Software and Hardware. In Design Automation Conference. 865--870.
[2]
V. K. Chippa, S. T. Chakradhar, K. Roy, and A. Raghunathan. 2013. Analysis and Characterization of Inherent Application Resilience for Approximate Computing. In Design Automation Conference. 1--9.
[3]
K.-J. Cho, K.-C. Lee, J.-G. Chung, and K. K. Parhi. 2004. Design of Low-Error Fixed-Width Modified Booth Multiplier. IEEE Transactions on Very Large Scale Integration Systems 12, 5 (May 2004), 522--531.
[4]
H. Esmaeilzadeh, A. Sampson, L. Ceze, and D. Burger. 2012. Neural Acceleration for General-Purpose Approximate Programs. In IEEE/ACM International Symposium on Microarchitecture. 449--460.
[5]
V. Gupta, D. Mohapatra, A. Raghunathan, and K. Roy. 2013. Low-Power Digital Signal Processing Using Approximate Adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 1 (Jan 2013), 124--137.
[6]
J. Han and M. Orshansky. 2013. Approximate computing: An emerging paradigm for energy-efficient design. In IEEE European Test Symposium (ETS). 1--6.
[7]
S. Hashemi, R. I. Bahar, and S. Reda. 2015. DRUM: A Dynamic Range Unbiased Multiplier for Approximate Applications. In IEEE/ACM International Conference on Computer-Aided Design. 418--425.
[8]
H. Jiang, J. Han, and F. Lombardi. 2015. A Comparative Review and Evaluation of Approximate Adders. In Great Lakes Symposium on VLSI. 343--348.
[9]
H. Jiang, J. Han, F. Qiao, and F. Lombardi. 2016. Approximate Radix-8 Booth Multipliers for Low-Power and High-Performance Operation. IEEE Trans. Comput. 65, 8 (Aug 2016), 2638--2644.
[10]
X. Jiao, Y. Jiang, A. Rahimi, and R. K. Gupta. 2017. SLoT: A supervised learning model to predict dynamic timing errors of functional units. In Design, Automation and Test in Europe. 1183--1188.
[11]
M. Kamal, A. Ghasemazar, A. Afzali-Kusha, and M. Pedram. 2014. Improving efficiency of extensible processors by using approximate custom instructions. In Design, Automation and Test in Europe. 1--4.
[12]
V. Leon, G. Zervakis, D. Soudris, and K. Pekmestzi. 2018. Approximate Hybrid High Radix Encoding for Energy-Efficient Inexact Multipliers. IEEE Transactions on Very Large Scale Integration Systems 26, 3 (March 2018), 421--430.
[13]
V. Leon, G. Zervakis, S. Xydis, D. Soudris, and K. Pekmestzi. 2018. Walking through the Energy-Error Pareto Frontier of Approximate Multipliers. IEEE Micro 38, 4 (Jul-Aug 2018), 40--49.
[14]
A. Lingamneni, C. Enz, K. Palem, and C. Piguet. 2013. Synthesizing Parsimonious Inexact Circuits Through Probabilistic Design Techniques. ACM Transactions on Embedded Computing Systems 12, 2s (May 2013), 93:1--93:26.
[15]
A. Lingamneni, C. Enz, K. Palem, and C. Piguet. 2014. Highly Energy-Efficient and Quality-Tunable Inexact FFT Accelerators. In IEEE Custom Integrated Circuits Conference. 1--4.
[16]
C. Liu, J. Han, and F. Lombardi. 2014. A Low-Power, High-Performance Approximate Multiplier with Configurable Partial Error Recovery. In Design, Automation and Test in Europe. 1--4.
[17]
W. Liu, L. Qian, C. Wang, H. Jiang, J. Han, and F. Lombardi. 2017. Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant Computing. IEEE Trans. Comput. PP (2017).
[18]
S. Mittal. 2016. A Survey of Techniques for Approximate Computing. Comput. Surveys 48, 4 (May 2016).
[19]
A. Momeni, J. Han, P. Montuschi, and F. Lombardi. 2015. Design and Analysis of Approximate Compressors for Multiplication. IEEE Trans. Comput. 64, 4 (Apr 2015), 984--994.
[20]
S. Narayanamoorthy, H. A. Moghaddam, Z. Liu, T. Park, and N. S. Kim. 2015. Energy-Efficient Approximate Multiplication for Digital Signal Processing and Classification Applications. IEEE Transactions on Very Large Scale Integration Systems 23, 6 (June 2015), 1180--1184.
[21]
R. Ragavan, B. Barrois, C. Killian, and O. Sentieys. 2017. Pushing the limits of voltage over-scaling for error-resilient applications. In Design, Automation and Test in Europe. 476--481.
[22]
K. M. Reddy, Y. B. N. Kumar, D. Sharma, and M. H. Vasantha. 2015. Low power, high speed error tolerant multiplier using approximate adders. In International Symposium on VLSI Design and Test. 1--6.
[23]
J. Schlachter, V. Camus, K. V. Palem, and C. Enz. 2017. Design and Applications of Approximate Circuits by Gate-Level Pruning. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25, 5 (May 2017), 1694--1702.
[24]
M. J. Schulte and E. E. Swartzlander. 1993. Truncated Multiplication with Correction Constant. In IEEE Workshop on VLSI Signal Processing. 388--396.
[25]
M. Shafique, R. Hafiz, S. Rehman, W. El-Harouni, and J. Henkel. 2016. Invited - Cross-layer Approximate Computing: From Logic to Architectures. In Design Automation Conference. 99:1--99:6.
[26]
S. Venkataramani, V. K. Chippa, S. T. Chakradhar, K. Roy, and A. Raghunathan. 2013. Quality programmable vector processors for approximate computing. In IEEE/ACM International Symposium on Microarchitecture. 1--12.
[27]
G. Zervakis, K. Tsoumanis, S. Xydis, D. Soudris, and K. Pekmestzi. 2016. Design-Efficient Approximate Multiplication Circuits Through Partial Product Perforation. IEEE Transactions on Very Large Scale Integration Systems 24, 10 (Oct 2016), 3105--3117.
[28]
G. Zervakis, S. Xydis, K. Tsoumanis, D. Soudris, and K. Pekmestzi. 2015. Hybrid Approximate Multiplier Architectures for Improved Power-Accuracy Trade-Offs. In International Symposium on Low Power Electronics and Design. 79--84.
[29]
Z. Zhang and Y. He. 2018. A Low-Error Energy-Efficient Fixed-Width Booth Multiplier With Sign-Digit-Based Conditional Probability Estimation. IEEE Transactions on Circuits and Systems II: Express Briefs (Feb 2018), 236--240.

Cited By

View all
  • (2024)Low Power Low Area Approximate Multipliers with New CompressorsProceedings of Third International Conference on Computational Electronics for Wireless Communications10.1007/978-981-97-1943-3_1(1-12)Online publication date: 1-Dec-2024
  • (2023)A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to CircuitsACM Transactions on Design Automation of Electronic Systems10.1145/361029129:1(1-37)Online publication date: 24-Jul-2023
  • (2023)An Ultra-Efficient Approximate Multiplier With Error Compensation for Error-Resilient ApplicationsIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2022.321506570:2(776-780)Online publication date: Feb-2023
  • Show More Cited By
  1. Cooperative Arithmetic-Aware Approximation Techniques for Energy-Efficient Multipliers

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
    June 2019
    1378 pages
    ISBN:9781450367257
    DOI:10.1145/3316781
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 02 June 2019

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. ASIC
    2. Approximate Computing
    3. Computer Arithmetic
    4. Design Space Exploration
    5. Energy Efficiency

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Conference

    DAC '19
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)17
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 14 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Low Power Low Area Approximate Multipliers with New CompressorsProceedings of Third International Conference on Computational Electronics for Wireless Communications10.1007/978-981-97-1943-3_1(1-12)Online publication date: 1-Dec-2024
    • (2023)A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to CircuitsACM Transactions on Design Automation of Electronic Systems10.1145/361029129:1(1-37)Online publication date: 24-Jul-2023
    • (2023)An Ultra-Efficient Approximate Multiplier With Error Compensation for Error-Resilient ApplicationsIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2022.321506570:2(776-780)Online publication date: Feb-2023
    • (2023)Efficient Approximate Multiplier Design Based on Hybrid Higher Radix Booth EncodingIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2022.322983113:1(165-174)Online publication date: Mar-2023
    • (2023)Efficient Multipliers for CNN with Optimized Compression Techniques2023 20th International Bhurban Conference on Applied Sciences and Technology (IBCAST)10.1109/IBCAST59916.2023.10713003(291-296)Online publication date: 22-Aug-2023
    • (2023)Low power Low Area Approximate Multiplier with Modified Error Compensation2023 4th IEEE Global Conference for Advancement in Technology (GCAT)10.1109/GCAT59970.2023.10353488(1-6)Online publication date: 6-Oct-2023
    • (2023)W-AMAComputers and Electrical Engineering10.1016/j.compeleceng.2023.108921111:PAOnline publication date: 1-Oct-2023
    • (2022)ACBAM-Accuracy-Configurable Sign Inclusive Broken Array Booth Multiplier DesignIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2021.310750910:4(2072-2078)Online publication date: 1-Oct-2022
    • (2022)Area and Power Efficient Truncated Booth Multipliers Using Approximate Carry-Based Error CompensationIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2021.309491069:2(579-583)Online publication date: Feb-2022
    • (2022)An Energy-Efficient Approximate Divider Based on Logarithmic Conversion and Piecewise Constant ApproximationIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2022.316789469:7(2655-2668)Online publication date: Jul-2022
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media