skip to main content
10.1145/333032.333034acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
Article
Free Access

Power supply design parameters prediction for high performance IC design flows

Authors Info & Claims
Published:08 April 2000Publication History
First page image

References

  1. 1.H. Bakoglu. Circuits, interconnection, and packaging for VLSI. Addison-Wesley Publishing Company, 1990.Google ScholarGoogle Scholar
  2. 2.H. Chen and D. Ling. Power supply noise analysis methodology for deep-submicron vlsi chip design. In DAC97, Anaheim, CA, USA, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.A. Conn, R.A.Haring, and C. Visweswariah. Noise consideration in circuit optimization. In ICCAD98, San Jose, CA, USA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.M. Delaurenti. Design and optimization techniques for high speed VLSI circuits. Ph.d. thesis dissertation, Politecnico di Torino, 1999.Google ScholarGoogle Scholar
  5. 5.M. Delaurenti, M. Graziano, G. Masera, G. Piccinini, and M. Zamboni. A global optimization tool for cmos logic circuits. In ICECS'99, Cipro, Sept. 1999.Google ScholarGoogle ScholarCross RefCross Ref
  6. 6.J. Gonzalez and A. Rubio. Low delta-i noise cmos circuits based on di~erential logic and current limiters. IEEE transaction on circuits and systems I, 1997.Google ScholarGoogle Scholar
  7. 7.M. Graziano, M. Delaurenti, G. Masera, G. Piccinini, and M. Zamboni. Noise safety design methodologies. In ISQED 2000, San Jose, CA, Mar. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.M. Graziano, G. Masera, G. Piccinini, M. R. Roch, and M. Zamboni. A statistical noise-tolerance analysis and test structure for logic families. In ICMTS99, Goteborg, Sweden, 1999.Google ScholarGoogle ScholarCross RefCross Ref
  9. 9.H. Jang, J. Jou, and Y. Chang. Noise-constrained performance optimization by simultaneous gate and wire sizing based on lagrangian relaxation. In DAC99, San Francisco, CA, USA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.Y. Jiang and K.T.Cheng. Analysis and performance impact caused by power supply noise in deep submicron devices. In DAC99, San Francisco, CA, USA, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.Mariagrazia Graziano. Cmos dynamic logic for high speed applications. Master's project, Politecnico di Torino, Electronic Departement, 1987.Google ScholarGoogle Scholar
  12. 12.J. Oh and M. Pedram. Multi-pad power/ground network design for uniform distribution of ground bounce. In DAC98, San Francisco, CA, USA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.R. Senthinathan and J. Prince. Simultaneous Switching Noise of CMOS Devices and Systems. Kluwer Ac. Pub., 1994.Google ScholarGoogle ScholarCross RefCross Ref
  14. 14.D. Sylvester and K. Keutzer. Getting to the bottom of deep submicron ii: a global wiring paradigm. In ISPD99, Monterey, CA, USA, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Power supply design parameters prediction for high performance IC design flows

                    Recommendations

                    Comments

                    Login options

                    Check if you have access through your login credentials or your institution to get full access on this article.

                    Sign in
                    • Published in

                      cover image ACM Conferences
                      SLIP '00: Proceedings of the 2000 international workshop on System-level interconnect prediction
                      April 2000
                      149 pages
                      ISBN:1581132492
                      DOI:10.1145/333032

                      Copyright © 2000 ACM

                      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                      Publisher

                      Association for Computing Machinery

                      New York, NY, United States

                      Publication History

                      • Published: 8 April 2000

                      Permissions

                      Request permissions about this article.

                      Request Permissions

                      Check for updates

                      Qualifiers

                      • Article

                      Acceptance Rates

                      Overall Acceptance Rate6of8submissions,75%

                    PDF Format

                    View or Download as a PDF file.

                    PDF

                    eReader

                    View online with eReader.

                    eReader