skip to main content
research-article

Reducing DRAM Refresh Rate Using Retention Time Aware Universal Hashing Redundancy Repair

Published: 10 July 2019 Publication History

Abstract

As the device capacity of Dynamic Random Access Memory (DRAM) increases, refresh operation becomes a significant contributory factor toward total power consumption and memory throughput of the device. To reduce the problems associated with the refresh operation, a multi-rate refresh technique that changes the refresh period based on the retention time of DRAM cells has been proposed. Unfortunately, the multi-rate refresh technique has a scalability issue, because the additional storage and logic overhead on a memory controller increases as the device capacity increases. In this article, we propose a novel redundancy repair technique to increase the refresh period of DRAM by using a universal hashing technique. Our redundancy repair technique efficiently repairs both hard faults, which occur during the manufacturing process, and weak cells that have short retention time using the remaining spare elements after the process. Also, our technique solves the Variable Retention Time problem by repairing weak cells at boot time by exploiting the Built-in self-repair (BISR) technique and Error Correction Code. Our technique outperforms a conventional BISR redundancy repair with very little hardware overhead, and ensure reliability with more extended refresh period in the entire system. In particular, our experimental results show that our BISR technique achieves 100% repair rate at a 384ms refresh period in 1.0e-6 hard fault BER configuration, and reduces the refresh energy consumption by 83.9% compared to the 64ms refresh and 12% compared to the conventional multi-rate refresh technique for the state-of-the-art 4Gb device.

References

[1]
Steven W. Aiken and David A. Carlson. 2016. Auto-blow memory repair. U.S. Patent 9,490,033.
[2]
J. E. Barth, D. Anand, S. Burns, J. H. Dreibelbis, J. A. Fifield, K. Gorman, M. Nelms, E. Nelson, A. Paparelli, G. Pomichter, D. E. Pontius, and S. Sliva. 2005. A 500-MHz multi-banked compilable DRAM macro with direct write and programmable pipelining. IEEE J. Solid-State Circ. 40, 1 (Jan. 2005), 213--222.
[3]
M. Bushnell and Vishwani Agrawal. 2013. Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, vol. 17. Springer, New York, NY.
[4]
Hyungjun Cho, Wooheon Kang, and Sungho Kang. 2012. A fast redundancy analysis algorithm in ATE for repairing faulty memories. ETRI J. 34, 3 (June 2012), 478--481.
[5]
Hyungjun Cho, Wooheon Kang, and Sungho Kang. 2013. A very efficient redundancy analysis method using fault grouping. ETRI J. 35, 3 (2013), 439--447.
[6]
Timothy J. Dell. 1997. A white paper on the benefits of chipkill-correct ECC for PC server main memory. IBM Microelectr. Div. 11 (1997), 1--23.
[7]
Bin Fan, Dave G. Andersen, Michael Kaminsky, and Michael D. Mitzenmacher. 2014. Cuckoo filter: Practically better than bloom. In Proceedings of the 10th ACM International on Conference on Emerging Networking Experiments and Technologies (CoNEXT’14). ACM, New York, NY, 75--88.
[8]
Mrinmoy Ghosh and Hsien-Hsin S. Lee. 2007. Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’40). IEEE Computer Society, Washington, DC, 134--145.
[9]
S. Gong, J. Kim, S. Lym, M. Sullivan, H. David, and M. Erez. 2018. DUO: Exposing on-chip redundancy to rank-level ECC for high reliability. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’18). IEEE, Washington, DC, 683--695.
[10]
T. Hamamoto, S. Sugiura, and S. Sawada. 1998. On the retention time distribution of dynamic random access memory (DRAM). IEEE Trans. Electron Devices 45, 6 (June 1998), 1300--1309.
[11]
Fang Hao, Muralidharan Sampath Kodialam, and Tirunell V. Lakshman. 2011. High accuracy bloom filter using partitioned hashing. U.S. Patent 7,930,547.
[12]
John L. Henning. 2006. SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput. Architect. News 34, 4 (2006), 1--17.
[13]
Masashi Horiguchi and Kiyoo Itoh. 2011. Nanoscale Memory Repair. Springer New York, New York, NY, Chapter Redundancy, 19--67.
[14]
C. S. Hou, Y. X. Chen, J. F. Li, C. Y. Lo, D. M. Kwai, and Y. F. Chou. 2016. A built-in self-repair scheme for DRAMs with spare rows, columns, and bits. In Proceedings of the IEEE International Test Conference (ITC). IEEE, Washington, DC, 1--7.
[15]
C. D. Huang, J. F. Li, and T. W. Tseng. 2007. ProTaR: An infrastructure IP for repairing RAMs in system-on-chips. IEEE Trans. Very Large Scale Integr. Syst. 15, 10 (Oct. 2007), 1135--1143.
[16]
Jing-Reng Huang, Chih-Tsun Huang, Chi-Feng Wu, and Cheng-Wen Wu. 2002. Programmable built in self test for embedded DRAM. U.S. Patent 6,415,403.
[17]
C. Isen and L. John. 2009. ESKIMO—Energy savings using semantic knowledge of inconsequential memory occupancy for DRAM subsystem. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’09). IEEE, Washington, DC, 337--346.
[18]
Koichiro Ishibashi, Kunihiro Komiyaji, Sadayuki Morita, Toshiro Aoto, Shuji Ikeda, Kyoichiro Asayama, Atsuyosi Koike, Toshiaki Yamanaka, Naotaka Hashimoto, Haruhito Iida, Fumio Kojima, Koichi Motohashi, and Katsuro Sasaki. 1994. A 12.5-ns 16-Mb CMOS SRAM with common-centroid-geometry-layout sense amplifiers. IEEE J. Solid-State Circ. 29, 4 (Apr. 1994), 411--418.
[19]
W. Jeong, J. Lee, T. Han, K. Lee, and S. Kang. 2010. An advanced BIRA for memories with an optimal repair rate and fast analysis speed by using a branch analyzer. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 29, 12 (Dec. 2010), 2014--2026.
[20]
Jaeyung Jun, Kyu Hyun Choi, Hokwon Kim, Sang Ho Yu, Seon Wook Kim, and Youngsun Han. 2017. Recovering from biased distribution of faulty cells in memory by reorganizing replacement regions through universal hashing. ACM Trans. Des. Autom. Electron. Syst. 23, 2, Article 16 (Oct. 2017).
[21]
M. Jung, D. M. Mathew, C. C. Rheinlander, C. Weis, and N. Wehn. 2017. A platform to analyze DDR3 DRAM’s power and retention time. IEEE Design Test 34, 4 (Aug. 2017), 52--59.
[22]
T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka. 2000. A built-in self-repair analyzer (CRESTA) for embedded DRAMs. In Proceedings of the International Test Conference. IEEE, Washington, DC, 567--574.
[23]
K. Kim and J. Lee. 2009. A new investigation of data retention time in truly nanoscaled DRAMs. IEEE Electron Device Lett. 30, 8 (Aug. 2009), 846--848.
[24]
Toshiaki Kirihata, Yohji Watanabe, Hing Wong, John K. DeBrosse, Munehiro Yoshida, Daisuke Kato, Shuso Fujii, Matthew R. Wordeman, Peter Poechmueller, Stephen A. Parke, and Yoshiaki Asao. 1996. Fault-tolerant designs for 256 Mb DRAM. IEEE J. Solid-State Circ. 31, 4 (Apr. 1996), 558--566.
[25]
J. Lee and J. J. Griffiths. 2009. Polarization effect in laser processing of fine pitch link structures for advanced memory designs. IEEE Trans. Semicond. Manufact. 22, 4 (Nov. 2009), 572--578.
[26]
Jamie Liu, Ben Jaiyen, Yoongu Kim, Chris Wilkerson, and Onur Mutlu. 2013. An experimental study of data retention behavior in modern DRAM devices: Implications for retention time profiling mechanisms. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA’13). ACM, New York, NY, 60--71.
[27]
Jamie Liu, Ben Jaiyen, Richard Veras, and Onur Mutlu. 2012. RAIDR: Retention-aware intelligent DRAM refresh. In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA’12). IEEE Computer Society, Washington, DC, 1--12.
[28]
Song Liu, Karthik Pattabiraman, Thomas Moscibroda, and Benjamin G. Zorn. 2011. Flikker: Saving DRAM refresh-power through critical data partitioning. In Proceedings of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’11). ACM, New York, NY, 213--224.
[29]
M. Lv, H. Sun, Q. Ren, B. Yu, J. Xin, and N. Zheng. 2015. Logic-DRAM Co-design to exploit the efficient repair technique for stacked DRAM. IEEE Trans. Circ. Syst. I: Regular Papers 62, 5 (May 2015), 1362--1371.
[30]
J. Meza, Q. Wu, S. Kumar, and O. Mutlu. 2015. Revisiting memory errors in large-scale production data centers: Analysis and modeling of new trends from the field. In Proceedings of the 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks. IEEE, Washington, DC, 415--426.
[31]
Rino Micheloni, Peter Z. Onufryk, Alessia Marelli, Christopher I. W. Norrie, and Ihab Jaser. 2015. Apparatus and method based on LDPC codes for adjusting a correctable raw bit error rate limit in a memory system. U.S. Patent 9,092,353.
[32]
Micron Technology 2009. MT41J512M8: 4Gb x8 DDR3 SDRAM Features. Micron Technology. Retrieved from https://www.micron.com/∼/media/documents/products/data-sheet/dram/ddr3/4gb_ddr3_sdram.pdf.
[33]
S. S. Mukherjee, J. Emer, T. Fossum, and S. K. Reinhardt. 2004. Cache scrubbing in microprocessors: Myth or necessity? In Proceedings of the 10th IEEE Pacific Rim International Symposium on Dependable Computing. IEEE, Washington, DC, 37--42.
[34]
Naveen Muralimanohar, Rajeev Balasubramonian, and Norman P. Jouppi. 2009. CACTI 6.0: A Tool to Model Large Caches. Technical Report HPL-2009-85. HP.
[35]
Prashant J. Nair, Dae-Hyun Kim, and Moinuddin K. Qureshi. 2013. ArchShield: Architectural framework for assisting DRAM scaling by tolerating high error rates. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA’13). ACM, New York, NY, 72--83.
[36]
P. J. Nair, V. Sridharan, and M. K. Qureshi. 2016. XED: Exposing on-die error detection information for strong memory reliability. In Proceedings of the ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA’16). ACM, New York, NY, 341--353.
[37]
P. Ohler, S. Hellebrand, and H. J. Wunderlich. 2007. An integrated built-in test and repair approach for memories with 2D redundancy. In Proceedings of the 12th IEEE European Test Symposium (ETS’07). IEEE, Washington, DC, 91--96.
[38]
A. Patel, F. Afram, S. Chen, and K. Ghose. 2011. MARSS: A full system simulator for multicore x86 CPUs. In Proceedings of the 48th ACM/EDAC/IEEE Design Automation Conference (DAC’11). IEEE, Washington, DC, 1050--1055.
[39]
M. Patel, J. S. Kim, and O. Mutlu. 2017. The reach profiler (REAPER): Enabling the mitigation of DRAM retention failures via profiling at aggressive conditions. In Proceedings of the ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA’17). ACM, New York, NY, 255--268.
[40]
M. K. Qureshi, D. H. Kim, S. Khan, P. J. Nair, and O. Mutlu. 2015. AVATAR: A variable-retention-time (VRT) aware refresh for DRAM systems. In Proceedings of the 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks. IEEE, Washington, DC, 427--437.
[41]
P. Rosenfeld, E. Cooper-Balis, and B. Jacob. 2011. DRAMSim2: A cycle accurate memory system simulator. IEEE Comput. Architect. Lett. 10, 1 (Jan. 2011), 16--19.
[42]
T. Sasaki, N. Otsuka, K. Hisano, and S. Fujii. 2005. Melt-segregate-quench programming of electrical fuse. In Proceedings of the 43rd Annual IEEE International Reliability Physics Symposium. IEEE, Washington, DC, 347--351.
[43]
Bianca Schroeder, Eduardo Pinheiro, and Wolf-Dietrich Weber. 2009. DRAM errors in the wild: A large-scale field study. In Proceedings of the 11th International Joint Conference on Measurement and Modeling of Computer Systems (SIGMETRICS’09). ACM, New York, NY, 193--204.
[44]
Y. H. Son, S. Lee, O. Seongil, S. Kwon, N. S. Kim, and J. H. Ahn. 2015. CiDRA: A cache-inspired DRAM resilience architecture. In Proceedings of the IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). IEEE, Washington, DC, 502--513.
[45]
Vilas Sridharan, Nathan DeBardeleben, Sean Blanchard, Kurt B. Ferreira, Jon Stearley, John Shalf, and Sudhanva Gurumurthi. 2015. Memory errors in modern systems: The good, the bad, and the ugly. In Proceedings of the 20th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’15). ACM, New York, NY, 297--310.
[46]
JEDEC Standard. 2012. DDR3 SDRAM Standard. Retrieved from https://www.jedec.org/standards-documents/docs/jesd-79-3d.
[47]
JEDEC Standard. 2014. Low Power Double Data Rate 4 (LPDDR4). Retrieved from https://www.jedec.org/sites/default/files/docs/JESD209-4.pdf.
[48]
JEDEC Standard. 2017. DDR4 SDRAM Standard. Retrieved from https://www.jedec.org/standards-documents/docs/jesd79-4a.
[49]
Micron Technology Inc. 1999. Various Methods of DRAM Refresh. Technical Note TN-04-30. Retrieved from https://downloads.reactivemicro.com/Electronics/DRAM/DRAM%20Refresh.pdf.
[50]
T. W. Tseng, J. F. Li, and C. C. Hsu. 2010. ReBISR: A reconfigurable built-in self-repair scheme for random access memories in SOCs. IEEE Trans. Very Large Scale Integr. Syst. 18, 6 (June 2010), 921--932.
[51]
R. K. Venkatesan, S. Herr, and E. Rotenberg. 2006. Retention-aware placement in DRAM (RAPID): Software methods for quasi-non-volatile DRAM. In Proceedings of the 12th International Symposium on High-Performance Computer Architecture.IEEE, Washington, DC, 155--165.
[52]
Laung-Terng Wang, Cheng-Wen Wu, and Xiaoqing Wen. 2006. VLSI Test Principles and Architectures: Design for Testability. Elsevier, Amsterdam, The Netherlands.
[53]
Ran Wang, Krishnendu Chakrabarty, and Sudipta Bhawmik. 2015. Built-in self-test and test scheduling for interposer-based 2.5D IC. ACM Trans. Des. Autom. Electron. Syst. 20, 4 (Sept. 2015).
[54]
X. Wang, D. Vasudevan, and H. H. S. Lee. 2012. Global built-in self-repair for 3D memories with redundancy sharing and parallel testing. In Proceedings of the IEEE International 3D Systems Integration Conference (3DIC’11). IEEE, Washington, DC, 1--8.
[55]
Y. Wang, Y. H. Han, C. Wang, H. Li, and X. Li. 2017. Retention-aware DRAM assembly and repair for future FGR memories. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 36, 5 (May 2017), 705--718.
[56]
D. S. Yaney, C. Y. Lu, R. A. Kohler, M. J. Kelly, and J. T. Nelson. 1987. A meta-stable leakage phenomenon in DRAM charge storage—Variable hold time. In Proceedings of the International Electron Devices Meeting. IEEE, Washington, DC, 336--339.
[57]
Y. Zorian and S. Shoukourian. 2003. Embedded-memory test and repair: Infrastructure IP for SoC yield. IEEE Design Test Comput. 20, 3 (May 2003), 58--66.

Cited By

View all
  • (2023)High-Performance and Power-Saving Mechanism for Page Activations Based on Full Independent DRAM Sub-Arrays in Multi-Core SystemsIEEE Access10.1109/ACCESS.2023.329984811(79801-79822)Online publication date: 2023
  • (2022)Temperature Estimation of HBM2 Channels with Tail Distribution of Retention Errors in FPGA-HBM2 PlatformElectronics10.3390/electronics1201003212:1(32)Online publication date: 22-Dec-2022

Index Terms

  1. Reducing DRAM Refresh Rate Using Retention Time Aware Universal Hashing Redundancy Repair

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 5
        September 2019
        282 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/3339837
        • Editor:
        • Naehyuck Chang
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 10 July 2019
        Accepted: 01 May 2019
        Revised: 01 April 2019
        Received: 01 November 2018
        Published in TODAES Volume 24, Issue 5

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. BISR algorithm
        2. DRAM refresh
        3. redundancy repair
        4. retention time

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)41
        • Downloads (Last 6 weeks)6
        Reflects downloads up to 13 Feb 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)High-Performance and Power-Saving Mechanism for Page Activations Based on Full Independent DRAM Sub-Arrays in Multi-Core SystemsIEEE Access10.1109/ACCESS.2023.329984811(79801-79822)Online publication date: 2023
        • (2022)Temperature Estimation of HBM2 Channels with Tail Distribution of Retention Errors in FPGA-HBM2 PlatformElectronics10.3390/electronics1201003212:1(32)Online publication date: 22-Dec-2022

        View Options

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        HTML Format

        View this article in HTML Format.

        HTML Format

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media