skip to main content
research-article

How Secure Is Split Manufacturing in Preventing Hardware Trojan?

Published:02 March 2020Publication History
Skip Abstract Section

Abstract

With the trend of outsourcing fabrication, split manufacturing is regarded as a promising way to both acquire the high-end nodes in untrusted external foundries and protect the design from potential attackers. However, in this article, we show that split manufacturing is not inherently secure, that a hardware Trojan attacker can still recover necessary information with a proximity-based or a simulated-annealing-based mapping approach together with a probability-based or net-based pruning method at the placement level. We further propose a defense approach by moving the insecure gates away from their easily attacked candidate locations. Results on benchmark circuits show the effectiveness of our proposed methods.

References

  1. Oklahoma State University. 2015. System on chip (SoC) design flows. Retrieved from http://vlsiarch.ecen.okstate.edu/flow/.Google ScholarGoogle Scholar
  2. Intelligence Advanced Research Projects Activity. 2011. Trusted integrated circuits program. Retrieved from https://www.fbo.gov/utils/view?id=b8be3d5d5babbffc6975c370247a6.Google ScholarGoogle Scholar
  3. R. S. Chakraborty and S. Bhunia. 2009. HARPOON: An obfuscation-based SoC design methodology for hardware protection. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 28, 10 (Oct. 2009), 1493--1502. DOI:https://doi.org/10.1109/TCAD.2009.2028166Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Abhijit Chatterjee and Richard Hartley. 1991. A new simultaneous circuit partitioning and chip placement approach based on simulated annealing. In Proceedings of the 27th ACM/IEEE Design Automation Conference. ACM, 36--39.Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. X. Chen, L. Wang, Y. Wang, Y. Liu, and H. Yang. 2017. A general framework for hardware trojan detection in digital circuits by statistical learning algorithms. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 36, 10 (Oct. 2017), 1633--1646. DOI:https://doi.org/10.1109/TCAD.2016.2638442Google ScholarGoogle ScholarCross RefCross Ref
  6. Zhang Chen, Pingqiang Zhou, Tsung-Yi Ho, and Yier Jin. 2016. How secure is split manufacturing in preventing hardware trojan? In Proceedings of the IEEE Asian Hardware-Oriented Security and Trust (AsianHOST’16). IEEE, 1--6.Google ScholarGoogle Scholar
  7. J. Francq and F. Frick. 2015. Introduction to hardware Trojan detection methods. In Proceedings of the Design, Automation Test in Europe Conference Exhibition. 770--775. DOI:https://doi.org/10.7873/DATE.2015.1101Google ScholarGoogle Scholar
  8. M. C. Hansen, H. Yalcin, and J. P. Hayes. 1999. Unveiling the ISCAS-85 benchmarks: A case study in reverse engineering. IEEE Design Test Comput. 16, 3 (July 1999), 72--80. DOI:https://doi.org/10.1109/54.785838Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. He, Y. Zhao, X. Guo, and Y. Jin. 2017. Hardware trojan detection through chip-free electromagnetic side-channel statistical analysis. IEEE Trans. Very Large Scale Integr. Syst. 25, 10 (Oct. 2017), 2939--2948. DOI:https://doi.org/10.1109/TVLSI.2017.2727985Google ScholarGoogle ScholarCross RefCross Ref
  10. F. Imeson, A. Emtenan, S. Garg, and M. V. Tripunitara. 2013. Securing computer hardware using 3D integrated circuit (IC) technology and split manufacturing for obfuscation. In Proceedings of the USENIX Conference. 495--510.Google ScholarGoogle Scholar
  11. M. Jagasivamani, P. Gadfort, M. Sika, M. Bajura, and M. Fritze. 2014. Split-fabrication obfuscation: Metrics and techniques. In Proceedings of the IEEE International Symposium on Hardware-Oriented Security and Trust. 7--12. DOI:https://doi.org/10.1109/HST.2014.6855560Google ScholarGoogle Scholar
  12. Yier Jin. 2015. Introduction to hardware security. Electronics 4 (2015) 763--784.Google ScholarGoogle ScholarCross RefCross Ref
  13. Yier Jin and Y. Makris. 2008. Hardware trojan detection using path delay fingerprint. In Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust. 51--57. DOI:https://doi.org/10.1109/HST.2008.4559049Google ScholarGoogle Scholar
  14. H. Li, Q. Liu, J. Zhang, and Y. Lyu. 2015. A survey of hardware trojan detection, diagnosis and prevention. In Proceedings of the International Conference on Computer-Aided Design and Computer Graphics. 173--180. DOI:https://doi.org/10.1109/CADGRAPHICS.2015.41Google ScholarGoogle Scholar
  15. W. Li, Z. Wasson, and S. A. Seshia. 2012. Reverse engineering circuits using behavioral pattern mining. In Proceedings of the IEEE International Symposium on Hardware-Oriented Security and Trust. 83--88. DOI:https://doi.org/10.1109/HST.2012.6224325Google ScholarGoogle Scholar
  16. J. Magaa, D. Shi, J. Melchert, and A. Davoodi. 2017. Are proximity attacks a threat to the security of split manufacturing of integrated circuits?IEEE Trans. Very Large Scale Integr. Syst. 25, 12 (Dec. 2017), 3406--3419. DOI:https://doi.org/10.1109/TVLSI.2017.2748018Google ScholarGoogle Scholar
  17. U.S. Patent. 2004. Split manufacturing method for advanced semiconductor circuits.Google ScholarGoogle Scholar
  18. J. Rajendran, E. Gavas, J. Jimenez, V. Padman, and R. Karri. 2010. Towards a comprehensive and systematic classification of hardware Trojans. In Proceedings of IEEE International Symposium on Circuits and Systems. IEEE, Paris, France, 1871--1874.Google ScholarGoogle Scholar
  19. J. Rajendran, O. Sinanoglu, and R. Karri. 2013. Is split manufacturing secure?. In Design, Automation Test in Europe Conference Exhibition. 1259--1264. DOI:https://doi.org/10.7873/DATE.2013.261Google ScholarGoogle Scholar
  20. M. Rostami, F. Koushanfar, J. Rajendran, and R. Karri. 2013. Hardware security: Threat models and metrics. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 819--823. DOI:https://doi.org/10.1109/ICCAD.2013.6691207Google ScholarGoogle Scholar
  21. J. A. Roy, F. Koushanfar, and I. L. Markov. 2010. Ending piracy of integrated circuits. Computer 43, 10 (Oct 2010), 30--38. DOI:https://doi.org/10.1109/MC.2010.284Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. A. Cozzie C. Grier W. Jiang S. T. King, J. Tucek and Y. Zhou. 2008. Designing and implementing malicious hardware. In Proceedings of the USENIX Conference. 51--58.Google ScholarGoogle Scholar
  23. Carl Sechen. 1988. Chip-planning, placement, and global routing of macro/custom cell integrated circuits using simulated annealing. In Proceedings of the 25th ACM/IEEE Design Automation Conference. IEEE Computer Society Press, 73--80.Google ScholarGoogle ScholarCross RefCross Ref
  24. R. Torrance and D. James. 2007. Reverse engineering in the semiconductor industry. In Proceedings of the IEEE Custom Integrated Circuits Conference. 429--436. DOI:https://doi.org/10.1109/CICC.2007.4405767Google ScholarGoogle Scholar
  25. Randy Torrance and Dick James. 2011. The state-of-the-art in semiconductor reverse engineering. In Proceedings of the 48th ACM/EDAC/IEEE Design Automation Conference (DAC’11). IEEE, 333--338.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. K. Vaidyanathan, B. P. Das, and L. Pileggi. 2014. Detecting reliability attacks during split fabrication using test-only BEOL stack. In Proceedings of the ACM/EDAC/IEEE Design Automation Conference. 1--6. DOI:https://doi.org/10.1145/2593069.2593123Google ScholarGoogle Scholar
  27. K. Vaidyanathan, B. P. Das, E. Sumbul, R. Liu, and L. Pileggi. 2014. Building trusted ICs using split fabrication. In Proceedings of the IEEE International Symposium on Hardware-Oriented Security and Trust. 1--6. DOI:https://doi.org/10.1109/HST.2014.6855559Google ScholarGoogle Scholar
  28. N. Viswanathan, M. Pan, and C. Chu. 2007. FastPlace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control. In Proceedings of the Asia and South Pacific Design Automation Conference. 135--140. DOI:https://doi.org/10.1109/ASPDAC.2007.357975Google ScholarGoogle Scholar
  29. Y. Wang, P. Chen, J. Hu, G. Li, and J. Rajendran. 2018. The cat and mouse in split manufacturing. IEEE Trans. Very Large Scale Integr. Syst. 26, 5 (May 2018), 805--817. DOI:https://doi.org/10.1109/TVLSI.2017.2787754Google ScholarGoogle ScholarCross RefCross Ref
  30. Y. Wang, P. Chen, J. Hu, and J. J. V. Rajendran. 2016. The cat and mouse in split manufacturing. In Proceedings of the ACM/EDAC/IEEE Design Automation Conference. 1--6. DOI:https://doi.org/10.1145/2897937.2898104Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. K. Xiao, D. Forte, and M. M. Tehranipoor. 2015. Efficient and secure split manufacturing via obfuscated built-in self-authentication. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust. 14--19. DOI:https://doi.org/10.1109/HST.2015.7140229Google ScholarGoogle Scholar
  32. Y. Xie, C. Bao, and A. Srivastava. 2017. Security-aware 2.5D integrated circuit design flow against hardware IP piracy. Computer 50, 5 (May 2017), 62--71. DOI:https://doi.org/10.1109/MC.2017.121Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Wenbin Xu, Lang Feng, Jeyavijayan J. V. Rajendran, and Jiang Hu. 2019. Layout recognition attacks on split manufacturing. In Proceedings of the 24th Asia and South Pacific Design Automation Conference. ACM, 45--50.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. How Secure Is Split Manufacturing in Preventing Hardware Trojan?

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 25, Issue 2
        March 2020
        256 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/3375457
        • Editor:
        • Naehyuck Chang
        Issue’s Table of Contents

        Copyright © 2020 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 March 2020
        • Accepted: 1 January 2020
        • Revised: 1 December 2019
        • Received: 1 September 2019
        Published in todaes Volume 25, Issue 2

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      HTML Format

      View this article in HTML Format .

      View HTML Format