skip to main content
10.1145/3400302.3415626acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article
Public Access

ASAP: an analytical strategy for AQFP placement

Published: 17 December 2020 Publication History

Abstract

Adiabatic Quantum-Flux-Parametron (AQFP) is a superconducting logic with very low energy dissipation. Each AQFP cell is driven by AC-power to serve as both power supply and clock signal. The clock signals trigger the data flow from one clock phase to the next clock phase, and the delay for each output in the same phase has to be equal. At the same time, the signal current attenuates as the wire becomes longer. When a wire exceeds a maximum length, the weak current causes incorrect data. Thus, rows of buffers have to be inserted as repeaters to satisfy both delay synchronization and wirelength constraint. These inserted buffers significantly increase the power consumption and also the total delay of AQFP circuits. In this paper, we propose an analytical strategy for AQFP placement (ASAP) to provide effective placement results that greatly reduce the number of additional inserted buffers. ASAP includes two main characteristics: 1) a new wire-length function for analytical global placement and 2) detailed placement including fixed-order Lagrangian relaxation and cell balancing algorithm. Experimental results show the efficiency of ASAP framework and a 53% reduction of buffers over the state-of-the-art method.

References

[1]
Nevirkovets I.P. HidakaM. Mukhanov O., Yoshikawa N. Josephson junctions for digital applications. In Fundamentals and Frontiers of the Josephson Effect, page 621. Springer, Cham, 2019.
[2]
Y. Murai, C. L. Ayala, N. Takeuchi, Y. Yamanashi, and N. Yoshikawa. Development and demonstration of routing and placement eda tools for large-scale adiabatic quantum-flux-parametron circuits. IEEE Transactions on Applied Superconductivity, 27(6):1--9, 2017.
[3]
T. Tanaka, C. L. Ayala, Q. Xu, R. Saito, and N. Yoshikawa. Fabrication of adiabatic quantum-flux-parametron integrated circuits using an automatic placement tool based on genetic algorithms. IEEE Transactions on Applied Superconductivity, 29(5):1--6, 2019.
[4]
Y. Lin, S. Dhar, W. Li, H. Ren, B. Khailany, and D. Z. Pan. Dreamplace: Deep learning toolkit-enabled gpu acceleration for modern vlsi placement. In 2019 56th ACM/IEEE Design Automation Conference (DAC), pages 1--6, 2019.
[5]
Naoki Takeuchi, Yuki Yamanashi, and Nobuyuki Yoshikawa. Adiabatic quantum-flux-parametron cell library adopting minimalist design. Journal of Applied Physics, 117:173912, 05 2015.
[6]
C. Cheng, A. B. Kahng, I. Kang, and L. Wang. Replace: Advancing solution quality and routability validation in global placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38(9):1717--1730, 2019.
[7]
M. Hsu, Y. Chang, and V. Balabanov. Tsv-aware analytical placement for 3d ic designs. In 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC), pages 664--669, 2011.
[8]
B. Yu, X. Xu, J. Gao, Y. Lin, Z. Li, C. J. Alpert, and D. Z. Pan. Methodology for standard cell compliance and detailed placement for triple patterning lithography. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(5):726--739, 2015.
[9]
T. Taghavi, Z. Li, C. Alpert, G. Nam, A. Huber, and S. Ramji. New placement prediction and mitigation techniques for local routing congestion. In 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 621--624, 2010.
[10]
Yibo Lin, Bei Yu, Yi Zou, Zhuo Li, C.J. Alpert, and David Pan. Stitch aware detailed placement for multiple e-beam lithography. Integration, the VLSI Journal, 58:47--54, 06 2017.
[11]
Michael Held, Philip Wolfe, and Harlan P. Crowder. Validation of subgradient optimization. 1974.

Cited By

View all
  • (2024)SuperFlow: A Fully-Customized RTL-to-GDS Design Automation Flow for Adiabatic Quantum- Flux - Parametron Superconducting Circuits2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546680(1-6)Online publication date: 25-Mar-2024
  • (2024)Unleashing the Potential of AQFP Logic Placement via Entanglement Entropy and ProjectionProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3658467(1-6)Online publication date: 23-Jun-2024
  • (2023)SupeRBNN: Randomized Binary Neural Network Using Adiabatic Superconductor Josephson DevicesProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623771(584-598)Online publication date: 28-Oct-2023
  • Show More Cited By
  1. ASAP: an analytical strategy for AQFP placement

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
    November 2020
    1396 pages
    ISBN:9781450380263
    DOI:10.1145/3400302
    • General Chair:
    • Yuan Xie
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CAS
    • IEEE CEDA
    • IEEE CS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 17 December 2020

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Research-article

    Funding Sources

    • U.S. Army Research Office

    Conference

    ICCAD '20
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)73
    • Downloads (Last 6 weeks)5
    Reflects downloads up to 28 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)SuperFlow: A Fully-Customized RTL-to-GDS Design Automation Flow for Adiabatic Quantum- Flux - Parametron Superconducting Circuits2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546680(1-6)Online publication date: 25-Mar-2024
    • (2024)Unleashing the Potential of AQFP Logic Placement via Entanglement Entropy and ProjectionProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3658467(1-6)Online publication date: 23-Jun-2024
    • (2023)SupeRBNN: Randomized Binary Neural Network Using Adiabatic Superconductor Josephson DevicesProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623771(584-598)Online publication date: 28-Oct-2023
    • (2023)Results From the ColdFlux Superconductor Integrated Circuit Design Tool ProjectIEEE Transactions on Applied Superconductivity10.1109/TASC.2023.330638133:8(1-26)Online publication date: Nov-2023
    • (2023)A Full-Custom Design Flow and a Top-Down RTL-to-GDS Flow for Adiabatic Quantum-Flux-Parametron Logic Using a Commercial EDA Design SuiteIEEE Transactions on Applied Superconductivity10.1109/TASC.2023.326126733:5(1-6)Online publication date: Aug-2023
    • (2023)Adopting a Standard Track Routing Architecture for Next-Generation Hybrid AC/DC-Biased Logic CircuitsIEEE Transactions on Applied Superconductivity10.1109/TASC.2023.325836633:5(1-5)Online publication date: Aug-2023
    • (2023)DLPlace: A Delay-Line Clocking-Based Placement Framework for AQFP Circuits2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323698(1-8)Online publication date: 28-Oct-2023
    • (2022)TAASProceedings of the 59th ACM/IEEE Design Automation Conference10.1145/3489517.3530487(1321-1326)Online publication date: 10-Jul-2022
    • (2022)A Neural Network Processing Unit Using Adiabatic Quantum-Flux-Parametron Superconducting Technology2022 IEEE 11th Global Conference on Consumer Electronics (GCCE)10.1109/GCCE56475.2022.10014162(111-113)Online publication date: 18-Oct-2022
    • (2022)A survey on superconducting computing technology: circuits, architectures and design toolsCCF Transactions on High Performance Computing10.1007/s42514-022-00089-w4:1(1-22)Online publication date: 16-Mar-2022
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media