skip to main content
10.1145/3400302.3415718acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article
Public Access

Coupling extraction and optimization for heterogeneous 2.5D chiplet-package co-design

Published:17 December 2020Publication History

ABSTRACT

In recent years, 2.5D chiplet package designs have gained popularity in system integration of heterogeneous technologies. Currently, there exists no standard CAD flow that can design, analyze, and optimize a complete heterogeneous 2.5D system. The traditional die-by-die design approach does not consider any package layers during extraction and optimization, and an accurate chiplet-package extraction can not be applied to heterogeneous designs without fundamental changes in standard CAD tools. In this paper, we present our Holistic and In-Context chiplet-package co-design flows for high-performance high-density 2.5D systems using standard ASIC CAD tools with zero overhead on IO pipeline depth. Our flow encompasses 2.5D-aware partitioning, chiplet-package co-planning, in-context extraction, iterative optimization, and post-design analysis and verification of the entire 2.5D system. We design our package planner with a routing and pin-planning strategy to minimize package routing congestion and timing overhead. An ARM Cortex-M0-based microcontroller system is designed as the benchmark. The performance gap to the reference 2D design reduces by 62.5% when chip-package interactions are taken into account in the holistic flow. Our in-context extraction achieves only 0.71% and 0.79% error on ground and coupling capacitance on a homogeneous system. Further, we implement a heterogeneous 2.5D system to demonstrate our novel in-context design and optimization methodology.

References

  1. M. Brunnbauer, T. Meyer, G. Ofner, K. Mueller, and R. Hagen. 2008. Embedded Wafer Level Ball Grid Array (eWLB). In International Electronics Manufacturing Technology Conference. 1--6. Google ScholarGoogle ScholarCross RefCross Ref
  2. Matthew R. Guthaus, James E. Stine, Samira Ataei, Brian Chen, Bin Wu, and Mehedi Sarwar. 2016. OpenRAM: An Open-source Memory Compiler. In International Conference on Computer-Aided Design. 93:1--93:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Jia-Wei Fang and Yao-Wen Chang. 2008. Area-I/O flip-chip routing for chip-package co-design. In International Conference on Computer-Aided Design. 518--522. Google ScholarGoogle ScholarCross RefCross Ref
  4. MD Arafat Kabir and Yarui Peng. 2020. Chiplet-Package Co-Design For 2.5D Systems Using Standard ASIC CAD Tools. In Asia and South Pacific Design Automation Conference. 351--356. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W. Ki, W. Lee, I. MoK, I. Lee, W. Do, M. Kolbehdari, A. Copia, S. Jayaraman, C. Zwenger, and K. Lee. 2018. Chip Stackable, Ultra-thin, High-Flexibility 3D FOWLP (3D SWIFT® Technology) for Hetero-Integrated Advanced 3D WL-SiP. In IEEE Electronic Components and Technology Conference. 580--586. Google ScholarGoogle ScholarCross RefCross Ref
  6. Jinwoo Kim, Gauthaman Murali, Heechun Park, Eric Qin, Hyoukjun Kwon, Venkata Chaitanya, Krishna Chekuri, Nihar Dasari, Arvind Singh, Minah Lee, Hakki Mert Torun, Kallol Roy, Madhavan Swaminathan, Saibal Mukhopadhyay, Tushar Krishna, and Sung Kyu Lim. 2019. Architecture, Chip, and Package Co-design Flow for 2.5D IC Design Enabling Heterogeneous IP Reuse. In Design Automation Conference. 178:1--178:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. Liu, Min-Sheng Chang, and T. Wang. 2014. Floorplanning and signal assignment for silicon interposer-based 3D ICs. In Design Automation Conference. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Minz and S. K. Lim. 2006. Block-level 3-D Global Routing With an Application to 3-D Packaging. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25, 10 (Oct 2006), 2248--2257. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. R. Minz and Sung Kyu Lim. 2004. A global router for system-on-package targeting layer and crosstalk minimization. In Electrical Performance of Electronic Packaging. 99--102. Google ScholarGoogle ScholarCross RefCross Ref
  10. Y. Peng, T. Song, D. Petranovic, and S. K. Lim. 2017. Parasitic Extraction for Heterogeneous Face-to-Face Bonded 3-D ICs. IEEE Transactions on Components and Packaging and Manufacturing Technology 7, 6 (June 2017), 912--924. Google ScholarGoogle ScholarCross RefCross Ref
  11. H. Pu, H. J. Kuo, C. S. Liu, and D. C. H. Yu. 2018. A Novel Submicron Polymer Re-Distribution Layer Technology for Advanced InFO Packaging. In IEEE Electronic Components and Technology Conference. 45--51. Google ScholarGoogle ScholarCross RefCross Ref
  12. C. Tseng, C. Liu, C. Wu, and D. Yu. 2016. InFO (Wafer Level Integrated Fan-Out) Technology. In IEEE Electronic Components and Technology Conference. 1--6. Google ScholarGoogle ScholarCross RefCross Ref
  13. Y. Xie, C. Bao, Y. Liu, and A. Srivastava. 2016. 2.5D/3D Integration Technologies for Circuit Obfuscation. In International Workshop on Microprocessor and SOC Test and Verification. 39--44. Google ScholarGoogle ScholarCross RefCross Ref
  14. Y. Xie, C. Bao, and A. Srivastava. 2017. Security-Aware 2.5D Integrated Circuit Design Flow Against Hardware IP Piracy. Computer 50, 5 (May 2017), 62--71. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Coupling extraction and optimization for heterogeneous 2.5D chiplet-package co-design

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
        November 2020
        1396 pages
        ISBN:9781450380263
        DOI:10.1145/3400302
        • General Chair:
        • Yuan Xie

        Copyright © 2020 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 17 December 2020

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate457of1,762submissions,26%

        Upcoming Conference

        ICCAD '24
        IEEE/ACM International Conference on Computer-Aided Design
        October 27 - 31, 2024
        New York , NY , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader