ABSTRACT
DVFS (dynamic voltage and frequency scaling) is a system-level technique that adjusts voltage and frequency levels of CPU/GPU at runtime to balance energy efficiency and high performance. DVFS has been studied for many years, but it is considered still challenging to realize a DVFS that performs ideally for mobile devices for two main reasons: i) an optimal power budget distribution between CPU and GPU in a power-constrained platform can only be defined by the application performance, but conventional DVFS implementations are mostly application-agnostic; ii) mobile platforms experience dynamic thermal environments for many reasons such as mobility and holding methods, but conventional implementations are not adaptive enough to such environmental changes. In this work, we propose a deep reinforcement learning-based frequency scaling technique, zTT. zTT learns thermal environmental characteristics and jointly scales CPU and GPU frequencies to maximize the application performance in an energy-efficient manner while achieving zero thermal throttling. Our evaluations for zTT implemented on Google Pixel 3a and NVIDIA JETSON TX2 platform with various applications show that zTT can adapt quickly to changing thermal environments, consistently resulting in high application performance with energy efficiency. In a high-temperature environment where a rendering application with the default mobile DVFS fails to keep producing more than a target frame rate, zTT successfully manages to do so even with 23.9% less average power consumption.
- Activision Publishing, Inc., Tencent Games Co., Ltd. Call of duty: Mobile. https://www.callofduty.com/mobile, 2019.Google Scholar
- Android. SurfaceFlinger. https://source.android.com/devices/graphics/surfaceflinger-windowmanager, 2020.Google Scholar
- ARMDeveloper Community, Quentin Perret. Energy Aware Scheduling (EAS) in Linux 5.0. https://community.arm.com/developer/ip-products/processors/b/processors-ip-blog/posts/energy-aware-scheduling-in-linux, 2019.Google Scholar
- Bellemare, M. G., Naddaf, Y., Veness, J., and Bowling, M. The arcade learning environment: An evaluation platform for general agents. Journal of Artificial Intelligence Research 47 (2013), 253--279.Google ScholarCross Ref
- Bhat, G., Gumussoy, S., and Ogras, U. Y. Power and thermal analysis of commercial mobile platforms: Experiments and case studies. In Proceedings of IEEE Design, Automation & Test in Europe Conference & Exhibition (2019), pp. 144--149.Google ScholarCross Ref
- Bhat, G., Singla, G., Unver, A. K., and Ogras, U. Y. Algorithmic optimization of thermal and power management for heterogeneous mobile platforms. IEEE Transactions on Very Large Scale Integration Systems 26, 3 (2017), 544--557.Google Scholar
- Brodowski, D., and Golde, N. Linux cpufreq governors.Google Scholar
- Chen, Z., Stamoulis, D., and Marculescu, D. Profit: priority and power/performance optimization for many-core systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37, 10 (2017), 2064--2075.Google Scholar
- Choi, Y., Park, S., and Cha, H. Graphics-aware power governing for mobile devices. In Proceedings of ACM International Conference on Mobile Systems, Applications, and Services (2019), pp. 469--481.Google ScholarDigital Library
- Corporation, D. Cookie run: Kingdom, 2021.Google Scholar
- Dinakarrao, S. M. P., Joseph, A., Haridass, A., Shafique, M., Henkel, J., and Homayoun, H. Application and thermal-reliability-aware reinforcement learning based multi-core power management. ACM Journal on Emerging Technologies in Computing Systems 15, 4 (2019), 33.Google ScholarDigital Library
- Finn, C., Abbeel, P., and Levine, S. Model-agnostic meta-learning for fast adaptation of deep networks. arXiv preprint arXiv:1703.03400 (2017).Google ScholarDigital Library
- Gong, F., Ju, L., Zhang, D., Zhao, M., and Jia, Z. Cooperative dvfs for energy-efficient hevc decoding on embedded cpu-gpu architecture. In Proceedings of Design Automation Conference (2017), pp. 1--6.Google ScholarDigital Library
- Gong, T., Kim, Y., Shin, J., and Lee, S.-J. Metasense: few-shot adaptation to untrained conditions in deep mobile sensing. In Proceedings of ACM Conference on Embedded Networked Sensor Systems (2019), pp. 110--123.Google ScholarDigital Library
- Google. WebGL Aquarium. http://webglsamples.org/aquarium/aquarium.html, 2009.Google Scholar
- Gulli, A., and Pal, S. Deep learning with Keras. Packt Publishing, 2017.Google ScholarDigital Library
- Gupta, U., Ayoub, R., Kishinevsky, M., Kadjo, D., Soundararajan, N., Tursun, U., and Ogras, U. Y. Dynamic power budgeting for mobile systems running graphics workloads. IEEE Transactions on Multi-Scale Computing Systems 4, 1 (2017), 30--40.Google Scholar
- Gupta, U., Mandal, S. K., Mao, M., Chakrabarti, C., and Ogras, U. Y. A deep q-learning approach for dynamic management of heterogeneous processors. IEEE Computer Architecture Letters 18, 1 (2019), 14--17.Google ScholarDigital Library
- Iranfar, A., Shahsavani, S. N., Kamal, M., and Afzali-Kusha, A. A heuristic machine learning-based algorithm for power and thermal management of heterogeneous mpsocs. In Proceedings of IEEE/ACM International Symposium on Low Power Electronics and Design) (2015), pp. 291--296.Google ScholarCross Ref
- Isuwa, S., Dey, S., Singh, A. K., and McDonald-Maier, K. Teem: Online thermal- and energy-efficiency management on cpu-gpu mpsocs. In Proceedings of IEEE Design, Automation & Test in Europe Conference & Exhibition (2019), pp. 438--443.Google ScholarCross Ref
- Kadjo, D., Ayoub, R., Kishinevsky, M., and Gratz, P. V. A control-theoretic approach for energy efficient cpu-gpu subsystem in mobile platforms. In Proceedings of Design Automation Conference (2015), p. 62.Google ScholarDigital Library
- Kang, S., Choi, H., Park, S., Park, C., Lee, J., Lee, U., and Lee, S.-J. Fire in your hands: Understanding thermal behavior of smartphones. In Proceedings of ACM International Conference on Mobile Computing and Networking (2019), pp. 13:1--13:16.Google ScholarDigital Library
- Little Workshop. WebVR Showroom. https://showroom.littleworkshop.fr/, 2017.Google Scholar
- Mei, X., Wang, Q., and Chu, X. A survey and measurement study of gpu dvfs on energy conservation. Digital Communications and Networks 3, 2 (2017), 89--100.Google ScholarCross Ref
- Mnih, V., Kavukcuoglu, K., Silver, D., Rusu, A. A., Veness, J., Bellemare, M. G., Graves, A., Riedmiller, M., Fidjeland, A. K., Ostrovski, G., et al. Human-level control through deep reinforcement learning. Nature 518, 7540 (2015), 529.Google Scholar
- Mochel, P. The sysfs filesystem.Google Scholar
- Monsoon-solutions. High Voltage Power Monitor. http://www.msoon.com/LabEquipment/PowerMonitor/, 2019.Google Scholar
- opencv dev team. Opencv2.4 documentation. OPENCV2.4.https://docs.opencv.org/2.4.13.6, 2019.Google Scholar
- Park, J.-G., Hsieh, C.-Y., Dutt, N., and Lim, S.-S. Synergistic cpu-gpu frequency capping for energy-efficient mobile games. ACM Transactions on Embedded Computing Systems 17, 2 (2018), 45:1--45:23.Google ScholarDigital Library
- Paterna, F., and Rosing, T. Š. Modeling and mitigation of extra-soc thermal coupling effects and heat transfer variations in mobile devices. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (2015), pp. 831--838.Google ScholarDigital Library
- Paterna, F., and Rosing, T. v. Modeling and mitigation of extra-soc thermal coupling effects and heat transfer variations in mobile devices. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2015), pp. 831--838.Google ScholarDigital Library
- Pathania, A., Irimiea, A. E., Prakash, A., and Mitra, T. Power-performance modelling of mobile gaming workloads on heterogeneous mpsocs. In Proceedings of Design Automation Conference (2015), pp. 1--6.Google ScholarDigital Library
- Prakash, A., Amrouch, H., Shafique, M., Mitra, T., and Henkel, J. Improving mobile gaming performance through cooperative cpu-gpu thermal management. In Proceedings of Design Automation Conference (2016), p. 47.Google ScholarDigital Library
- Redmon, J., Divvala, S., Girshick, R., and Farhadi, A. You only look once: Unified, real-time object detection. In Proceedings of IEEE conference on computer vision and pattern recognition (2016), pp. 779--788.Google Scholar
- Redmon, J., and Farhadi, A. Yolov3: An incremental improvement. arXiv (2018).Google Scholar
- Ren, J., Wang, X., Fang, J., Feng, Y., Zhu, D., Luo, Z., Zheng, J., and Wang, Z. Proteus: network-aware web browsing on heterogeneous mobile systems. In Proceedings of ACM International Conference on emerging Networking EXperiments and Technologies (2018), pp. 379--392.Google ScholarDigital Library
- Sahin, O., and Coskun, A. K. Providing sustainable performance in thermally constrained mobile devices. In Proceedings of ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia (2016), pp. 72--77.Google ScholarDigital Library
- Sahin, O., Thiele, L., and Coskun, A. K. Maestro: Autonomous qos management for mobile applications under thermal constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38, 8 (2018), 1557--1570.Google Scholar
- Sekar, K. Power and thermal challenges in mobile devices. In Proceedings of ACM International Conference on Mobile Computing & Networking (2013), pp. 363--368.Google ScholarDigital Library
- Sharifi, S., Krishnaswamy, D., and Rosing, T. Š. Prometheus: A proactive method for thermal management of heterogeneous mpsocs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 7 (2013), 1110--1123.Google ScholarDigital Library
- Shen, H., Tan, Y., Lu, J., Wu, Q., and Qiu, Q. Achieving autonomous power management using reinforcement learning. ACM Transactions on Design Automation of Electronic Systems 18, 2 (2013), 1--32.Google ScholarDigital Library
- Singla, G., Kaur, G., Unver, A. K., and Ogras, U. Y. Predictive dynamic thermal and power management for heterogeneous mobile platforms. In Proceedings of IEEE Design, Automation & Test in Europe Conference & Exhibition (2015), pp. 960--965.Google ScholarCross Ref
- Skype Inc. Skype. https://skype.com/, 2003.Google Scholar
- Suh, H., Shahriaree, N., Hekler, E. B., and Kientz, J. A. Developing and validating the user burden scale: A tool for assessing user burden in computing systems. In Proceedings of ACM CHI conference on human factors in computing systems (2016), pp. 3988--3999.Google ScholarDigital Library
- Tan, Y., Liu, W., and Qiu, Q. Adaptive power management using reinforcement learning. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design-Digest of Technical Papers (2009), pp. 461--467.Google ScholarDigital Library
- Tencent. Pubg mobile, 2018.Google Scholar
- Texas Instruments. High-side measurement, shunt and bus voltage monitor with i2c- and smbus-compatible interface. https://www.ti.com/product/INA3221, 2016.Google Scholar
- Walker, M. J., Diestelhorst, S., Hansson, A., Das, A. K., Yang, S., Al-Hashimi, B. M., and Merrett, G. V. Accurate and stable run-time power modeling for mobile and embedded cpus. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36, 1 (2016), 106--119.Google Scholar
- Wang, S., Ananthanarayanan, G., and Mitra, T. Optic: Optimizing collaborative cpu-gpu computing on mobile devices with thermal constraints. IEEE transactions on computer-aided design of integrated circuits and systems 38, 3 (2018), 393--406.Google Scholar
- Xie, Q., Kim, J., Wang, Y., Shin, D., Chang, N., and Pedram, M. Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (2013), pp. 242--247.Google ScholarDigital Library
- Yan, K., Zhang, X., Tan, J., and Fu, X. Redefining qos and customizing the power management policy to satisfy individual mobile users. In Proceedings of IEEE/ACM International Symposium on Microarchitecture (2016), pp. 1--12.Google ScholarCross Ref
- Yang, L., Dick, R. P., Memik, G., and Dinda, P. Happe: Human and application-driven frequency scaling for processor power efficiency. IEEE Transactions on Mobile Computing 12, 8 (2012), 1546--1557.Google Scholar
- Zhang, Q., Lin, M., Yang, L. T., Chen, Z., and Li, P. Energy-efficient scheduling for real-time systems based on deep q-learning model. IEEE Transactions on Sustainable Computing 4, 1 (2017), 132--141.Google Scholar
Index Terms
- zTT: learning-based DVFS with zero thermal throttling for mobile devices
Recommendations
zTT: Learning-Based DVFS with Zero Thermal Throttling for Mobile Devices
With the advent of mobile processors integrating CPU and GPU, high-performance tasks, such as deep learning, gaming, and image processing are running on mobile devices. To fully exploit CPU and GPU's capability on mobile devices, we need to utilize ...
Workload-adaptive process tuning strategy for power-efficient multi-core processors
ISLPED '10: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and designAs more devices are integrated with technology scaling, reducing the power consumption of both high-performance and low-power processors has become the first-class design constraint. Reducing power consumption while satisfying required performance is ...
Managing power constraints in a single-core scenario through power tokens
Current microprocessors face constant thermal and power-related problems during their everyday use, usually solved by applying a power budget to the processor/core. Dynamic voltage and frequency scaling (DVFS) has been an effective technique that ...
Comments