skip to main content
research-article

A ReRAM Memory Compiler for Monolithic 3D Integrated Circuits in a Carbon Nanotube Process

Published: 03 November 2021 Publication History

Abstract

We present a ReRAM memory compiler for monolithic 3D (M3D) integrated circuits (IC). We develop ReRAM architectures for M3D ICs using 1T-1R bit cells and single and multiple tiers of transistors for access and peripheral circuits. The compiler includes an automated flow for generation of subarrays of different dimensions and larger arrays of a target capacity by integrating multiple subarrays. The compiler is demonstrated using an M3D process design kit (PDK) based on a Carbon Nanotube Transistor technology. The PDK includes multiple layers of transistors and back-end-of-the-line integrated ReRAM. Simulations show the compiled ReRAM macros with multiple tiers of transistors reduces footprint and improves performance over the macros with single-tier transistors. The compiler creates layout views that are exported into library exchange format or graphic data system for full-array assembly and schematic/symbol views to extract per-bit read/write energy and read latency. Comparison of the proposed M3D subarray architectures with baseline 2D subarrays, generated with a custom-designed set of bit cells and peripherals, demonstrate up to 48% area reduction and 13% latency improvement.

References

[1]
Aya G. Amer, Rebecca Ho, Gage Hills, Anantha P. Chandrakasan, and Max M. Shulaker. 2019. 29.8 SHARC: Self-healing analog with RRAM and CNFETs. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’19). 470–472.
[2]
Perrine Batude, Thomas Ernst, Julien Arcamone, Gregory Arndt, Perceval Coudrain, and Pierre-Emmanuel Gaillardon. 2012. 3D sequential integration: A key enabling technology for heterogeneous co-integration of new function with CMOS. IEEE J. Emerg. Select. Top. Circ. Syst. 2, 4 (2012), 714–722.
[3]
Mindy D. Bishop, Gage Hills, Tathagata Srimani, Christian Lau, Denis Murphy, Samuel Fuller, Jefford Humes, Anthony Ratkovich, Mark Nelson, and Max M. Shulaker. 2020. Fabrication of carbon nanotube field-effect transistors in commercial silicon manufacturing facilities. Nature Electron. 3, 8 (Aug 2020), 492–501.
[4]
Meng-Fan Chang, Chien-Chen Lin, Albert Lee, Chia-Chen Kuo, Geng-Hau Yang, Hsiang-Jen Tsai, Tien-Fu Chen, Shyh-Shyuan Sheu, Pei-Ling Tseng, Heng-Yuan Lee, and Tzu-Kun Ku. 2015. 17.5 A 3T1R nonvolatile TCAM using MLC ReRAM with Sub-1ns search time. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’15).
[5]
Meng-Fan Chang, Che-Wei Wu, Chia-Cheng Kuo, Shin-Jang Shen, Ku-Feng Lin, Shu-Meng Yang, Ya-Chin King, Chorng-Jung Lin, and Yu-Der Chih. 2012. A 0.5V 4Mb logic-process compatible embedded resistive RAM (ReRAM) in 65 nm CMOS using low-voltage current-mode sensing scheme with 45ns random read time. In Proceedings of the IEEE International Solid-State Circuits Conference. 434–436.
[6]
Meng-Fan Chang, Jui-Jen Wu, Tun-Fei Chien, Yen-Chen Liu, Ting-Chin Yang, Wen-Chao Shen, Ya-Chin King, Chrong Jung Lin, Ku-Feng Lin, Yu-Der Chih, and Jonathan Chang. 2015. Low {\rm VDDmin} swing-sample-and-couple sense amplifier and energy-efficient self-boost-write-termination scheme for embedded ReRAM macros against resistance and switch-time variations. IEEE J. Solid-State Circ. 50, 11 (2015), 2786–2795.
[7]
Wei-Hao Chen, Kai-Xiang Li, Wei-Yu Lin, Kuo-Hsiang Hsu, Pin-Yi Li, Cheng-Han Yang, Cheng-Xin Xue, En-Yu Yang, Yen-Kai Chen, Yun-Sheng Chang, Tzu-Hsiang Hsu, Ya-Chin King, Chorng-Jung Lin, Ren-Shuo Liu, Chih-Cheng Hsieh, Kea-Tiong Tang, and Meng-Fan Chang. 2018. A 65 nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’18). 494–496.
[8]
Chiyui Ahn, Zizhen Jiang, Chi-Shuen Lee, Hong-Yu Chen, J. Liang, L. S. Liyanage, and H. P. Wong. 2014. A 1TnR array architecture using a one-dimensional selection device. In Proceedings of the Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers. 1–2.
[9]
Sujin Choi, Wookyung Sun, and Hyungsoon Shin. 2018. Analysis of read margin and write power consumption of a 3-D vertical RRAM (VRRAM) crossbar array. IEEE J. Electron. Devices Soc. 6 (2018), 1192–1196.
[10]
Jason Cong, Guojie Luo, Jie Wei, and Yan Zhang. 2007. Thermal-aware 3D IC placement via transformation. In Proceedings of the Asia and South Pacific Design Automation Conference. 780–785.
[11]
C. Fenouillet-Beranger, B.Mathieu, B. Previtali, M-P. Samson, N.Rambal, V. Benevent, S. Kerdiles, J-P. Barnes, D. Barge, P. Besson, R. Kachtouli, M. Casse, X. Garros, A. Laurent, F. Nemouchi, K. Huet, I. Toque-Tresonne, D.Lafond, H. Dansas, F. Aussenac, G. Druais, P. Perreau, E. Richard, S. Chhun, E. Petitprez, N. Guillot, F. Deprat, L. Pasini, L. Brunet, V. Lu, C. Reita, P. Batude, and M. Vinet.2014. New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI. In Proceedings of the IEEE International Electron Devices Meeting. 27.5.1–27.5.4.
[12]
Gage Hills, Marie Garcia Bardon, Gerben Doornbos, Dmitry Yakimets, Pieter Schuddinck, Rogier Baert, Doyoung Jang, Luca Mattii, Syed Muhammed Yasser Sherazi, Dimitrios Rodopoulos, Romain Ritzenthaler, Chi-Shuen Lee, Aaron Voon-Yew Thean, Iuliana Radu, Alessio Spessot, Peter Debacker, Francky Catthoor, Praveen Raghavan, Max M. Shulaker, H.-S. Philip Wong, and Subhasish Mitra. 2018. Understanding energy efficiency benefits of carbon nanotube field-effect transistors for digital VLSI. IEEE Trans. Nanotechnol. 17, 6 (2018), 1259–1269.
[13]
R. Ishihara, M. R. T. Mofrad, J. Derakhshandeh, N. Golshani, and C. I. M. Beenakker. 2012. Monolithic 3D-ICs with single grain Si thin film transistors. In Proceedings of the IEEE 11th International Conference on Solid-State and Integrated Circuit Technology. 1–4.
[14]
Sukeshwar Kannan, Rahul Agarwal, Arnaud Bousquet, Geetha Aluri, and Hui-Shan Chang. 2015. Device performance analysis on 20 nm technology thin wafers in a 3D package. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS’15).
[15]
B. W. Ku, Kyungwook Chang, and Sung Kyu Lim. 2020. Compact-2D: A physical design methodology to build two-tier gate-level 3D ICs. IEEE Trans. Comput.-Aided Design 39, 6 (2020), 1151–1164.
[16]
Albert Lee, Chieh-Pu Lo, Chien-Chen Lin, Wei-Hao Chen, Kuo-Hsiang Hsu, Zhibo Wang, Fang Su, Zhe Yuan, Qi Wei, Ya-Chin King, Chrong-Jung Lin, Hochul Lee, Pedram Khalili Amiri, Kang-Lung Wang, Yu Wang, Huazhong Yang, Yongpan Liu, and Meng-Fan Chang. 2017. A ReRAM-based nonvolatile flip-flop with self-write-termination scheme for frequent-OFF fast-wake-up nonvolatile processors. IEEE J. Solid-State Circ. 52, 8 (2017), 2194–2207.
[17]
Yongpan Liu, Zhibo Wang, Albert Lee, Fang Su, Chieh Pu Lo, Zhe Yuan, Chien Chen Lin, Qi Wei, Yu Wang, Ya Chin King, Chrong Jung Lin, Pedram Khalili, Kang Lung Wang, Meng Fan Chang, and Huazhong Yang. 2016. 4.7 A 65 nm ReRAM-enabled nonvolatile processor with 6\times reduction in restore time and 4\times higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’16). 84–86.
[18]
Yi-Chen Lu, Sai Surya Kiran Pentapati, Lingjun Zhu, Kambiz Samadi, and Sung Kyu Lim. 2020. TP-GNN: A graph neural network framework for tier partitioning in monolithic 3D ICs. In Proceedings of the ACM Design Automation Conference (DAC’20).
[19]
H. Henry Nho, Mark Horowitz, and S. Simon Wong. 2008. A high-speed, low-power 3D-SRAM architecture. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC’08). 201–204.
[20]
Heechun Park, Kyungwook Chang, Bon Woong Ku, Jinwoo Kim, Edward Lee, Daehyun Kim, Arjun Chaudhuri, Sanmitra Banerjee, Saibal Mukhopadhyay, Krishnendu Chakrabarty, and Sung Kyu Lim. 2019. RTL-to-GDS tool flow and design-for-test solutions for monolithic 3D ICs. In Proceedings of the ACM Design Automation Conference (DAC’19).
[21]
Sandeep Kumar Samal, Deepak Nayak, Motoi lchihashi, Srinivasa Banna, and Sung Kyu Lim. 2016. How to cope with slow transistors in the top tier of monolithic 3D ICs: Design studies and CAD solutions. In Proceedings of the Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’16). 320–325.
[22]
Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, R. Stanley Williams, and Vivek Srikumar. 2016. ISAAC: A convolutional neural network accelerator with in situ analog arithmetic in crossbars. In Proceedings of the International Symposium on Computer Architecture (ISCA’16). 14–26.
[23]
M. M. Shulaker et al. 2014. Monolithic three-dimensional integration of carbon nanotube FETs with silicon CMOS. In Proceedings of the Symposium on VLSI Technology (VLSI-Technology’14). 1–2.
[24]
Max M. Shulaker, Gage Hills, Rebecca S. Park, Roger T. Howe, Krishna Saraswat, H.-S. Philip Wong, and Subhasish Mitra. 2017. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547 (2017), 74–78.
[25]
Max M. Shulaker, Tony F. Wu, Asish Pal, Liang Zhao, Yoshio Nishi, Krishna Saraswat, H.-S. Philip Wong, and Subhasish Mitra. 2014. Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs. In Proceedings of the IEEE International Electron Devices Meeting. 27.4.1–27.4.4.
[26]
Max M. Shulaker, Tony F. Wu, Mohamed M. Sabry, Hai Wei, H.-S. Philip Wong, and Subhasish Mitra. 2015. Monolithic 3D integration: A path from concept to reality. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’15). 1197–1202.
[27]
T. Srimani, G. Hills, M. Bishop, C. Lau, P. Kanhaiya, R. Ho, A. Amer, M. Chao, A. Yu, A.Wright, A. Ratkovich, D. Aguilar, A. Bramer, C. Cecman, A. Chov, G. Clark, G. Michaelson, M. Johnson, K. Kelley, P. Manos, K. Mi, U. Suriono, S. Vuntangboon, H. Xue, J. Humes, S. Soares, B. Jones, S. Burack, Arvind, A. Chandrakasan, B. Ferguson, M. Nelson, and M. M. Shulaker. 2020. Heterogeneous integration of BEOL logic and memory in a commercial foundry: Multi-tier complementary carbon nanotube logic and resistive RAM at a 130 nm node. In Proceedings of the IEEE VLSI Symposium on Technology and Circuits.
[28]
Tathagata Srimani, Gage Hills, Mindy Deanna Bishop, and Max M. Shulaker. 2019. 30-nm contacted gate pitch back-gate carbon nanotube FETs for sub-3-nm nodes. IEEE Trans. Nanotechnol. 18 (2019), 132–138.
[29]
Srivatsa Srinivasa, Akshay Krishna Ramanathan, Xueqing Li, Wei-Hao Chen, Sumeet Kumar Gupta, Meng-Fan Chang, Swaroop Ghosh, Jack Sampson, and Vijaykrishnan Narayanan. 2018. A monolithic-3D SRAM design with enhanced robustness and in-memory computation support. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED’18).
[30]
M. Vinet, P. Batude, C. Fenouillet-Beranger, F. Clermidy, L. Brunet, O. Rozeau, J. M. Hartmannn, O. Billoint, G. Cibrario, B. Previtali, C. Tabone, B. Sklenard, O. Turkyilmaz, F Ponthenier, N. Rambal, M. P. Samson, F. Deprat, V. Lu, L. Pasini, S. Thuries, H. Sarhan, J.-E. Michallet, and O. Faynot. 2014. Monolithic 3D integration: A powerful alternative to classical 2D scaling. In Proceedings of the SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S’14). 1–3.
[31]
H.-S. Philip Wong, Heng-Yuan Lee, Shimeng Yu, Yu-Sheng Chen, Yi Wu, Pang-Shiu Chen, Byoungil Lee, Frederick T. Chen, and Ming-Jinn Tsai. 2012. Metal–Oxide RRAM. Proc. IEEE 100, 6 (2012), 1951–1970.
[32]
Tony F. Wu, Haitong Li, Ping-Chen Huang, Abbas Rahimi, Gage Hills, Bryce Hodson, William Hwang, Jan M. Rabaey, H.-S. Philip Wong, Max M. Shulaker, and Subhasish Mitra. 2018. Hyperdimensional computing exploiting carbon nanotube FETs, resistive RAM, and their monolithic 3D integration. IEEE J. Solid-State Circ. 53, 11 (2018), 3183–3196.

Cited By

View all
  • (2024)3D SRAM Design & Optimization with Open Source Memory Compiler2024 International 3D Systems Integration Conference (3DIC)10.1109/3DIC63395.2024.10830085(1-5)Online publication date: 25-Sep-2024
  • (2023)Moving Target Defense Through Approximation for Low-Power Neuromorphic Edge IntelligenceIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332709343:3(728-741)Online publication date: 25-Oct-2023

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 18, Issue 1
January 2022
497 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3483339
  • Editor:
  • Ramesh Karri
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 03 November 2021
Accepted: 01 May 2021
Revised: 01 February 2021
Received: 01 September 2020
Published in JETC Volume 18, Issue 1

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Memory compiler
  2. monolithic 3D integration
  3. ReRAM

Qualifiers

  • Research-article
  • Refereed

Funding Sources

  • Defense Advanced Research Projects Agency (DARPA)

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)49
  • Downloads (Last 6 weeks)4
Reflects downloads up to 05 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2024)3D SRAM Design & Optimization with Open Source Memory Compiler2024 International 3D Systems Integration Conference (3DIC)10.1109/3DIC63395.2024.10830085(1-5)Online publication date: 25-Sep-2024
  • (2023)Moving Target Defense Through Approximation for Low-Power Neuromorphic Edge IntelligenceIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332709343:3(728-741)Online publication date: 25-Oct-2023

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media