skip to main content
10.1145/3470496.3527417acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

XQsim: modeling cross-technology control processors for 10+K qubit quantum computers

Published: 11 June 2022 Publication History

Abstract

10+K qubit quantum computer is essential to achieve a true sense of quantum supremacy. With the recent effort towards the large-scale quantum computer, architects have revealed various scalability issues including the constraints in a quantum control processor, which should be holistically analyzed to design a future scalable control processor. However, it has been impossible to identify and resolve the processor's scalability bottleneck due to the absence of a reliable tool to explore an extensive design space including microarchitecture, device technology, and operating temperature.
In this paper, we present XQsim, an open-source cross-technology quantum control processor simulator. XQsim can accurately analyze the target control processors' scalability bottlenecks for various device technology and operating temperature candidates. To achieve the goal, we first fully implement a convincing control processor microarchitecture for the Fault-tolerant Quantum Computer (FTQC) systems. Next, on top of the microarchitecture, we develop an architecture-level control processor simulator (XQsim) and thoroughly validate it with post-layout analysis, timing-accurate RTL simulation, and noisy quantum simulation. Lastly, driven by XQsim, we provide the future directions to design a 10+K qubit quantum control processor with several design guidelines and architecture optimizations. Our case study shows that the final control processor architecture can successfully support ~59K qubits with our operating temperature and technology choices.

References

[1]
MD SAJID ANIS, Abby-Mitchell, Héctor Abraham, et al., 2021. Qiskit: An Open-source Framework for Quantum Computing.
[2]
Ryan Babbush, Craig Gidney, Dominic W Berry, Nathan Wiebe, Jarrod McClean, Alexandru Paler, Austin Fowler, and Hartmut Neven. 2018. Encoding electronic spectra in quantum circuits with linear T complexity. Physical Review X 8, 4 (2018), 041015.
[3]
Joseph C. Bardin, Evan Jeffrey, Erik Lucero, Trent Huang, Sayan Das, Daniel Thomas Sank, Ofer Naaman, Anthony Edward Megrant, Rami Barends, Ted White, Marissa Giustina, Kevin J. Satzinger, Kunal Arya, Pedram Roushan, Benjamin Chiaro, Julian Kelly, Zijun Chen, Brian Burkett, Yu Chen, Andrew Dunsworth, Austin Fowler, Brooks Foxen, Craig Gidney, Rob Graff, Paul Klimov, Josh Mutus, Matthew J. McEwen, Matthew Neeley, Charles J. Neill, Chris Quintana, Amit Vainsencher, Hartmut Neven, and John Martinis. 2019. Design and Characterization of a 28-nm Bulk-CMOS Cryogenic Quantum Controller Dissipating Less Than 2 mW at 3 K. IEEE Journal of Solid-State Circuits 54, 11 (2019), 3043--3060.
[4]
Arnout Beckers, Farzan Jazaeri, and Christian Enz. 2018. Characterization and modeling of 28-nm bulk CMOS technology down to 4.2 K. IEEE Journal of the Electron Devices Society 6 (2018), 1007--1018.
[5]
Arnout Beckers, Farzan Jazaeri, Alexander Grill, Subramanian Narasimhamoorthy, Bertrand Parvais, and Christian Enz. 2020. Physical Model of Low-Temperature to Cryogenic Threshold Voltage in MOSFETs. IEEE Journal of the Electron Devices Society 8 (2020), 780--788.
[6]
Bluefors. 2022. XLD Dilution Refrigerator System. https://bluefors.com/products/xld-dilution-refrigerator [Online Accessed, 23-April-2022].
[7]
Ilkwon Byun, Dongmoon Min, Gyu-hyeon Lee, Seongmin Na, and Jangwoo Kim. 2020. CryoCore: A fast and dense processor architecture for cryogenic computing. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 335--348.
[8]
Manuel A Castellanos-Beltran, David I Olaya, Adam J Sirois, Paul D Dresselhaus, Samuel P Benz, and Peter F Hopkins. 2019. Stacked Josephson junctions as inductors for single flux quantum circuits. IEEE Transactions on Applied Superconductivity 29, 5 (2019), 1--5.
[9]
Zijun Chen, Kevin J. Satzinger, Juan Atalaya, Alexander N. Korotkov, Andrew Dunsworth, Daniel Sank, Chris Quintana, Matt McEwen, Rami Barends, Paul V. Klimov, Sabrina Hong, Cody Jones, Andre Petukhov, Dvir Kafri, Sean Demura, Brian Burkett, Craig Gidney, Austin G. Fowler, Harald Putterman, Igor Aleiner, Frank Arute, Kunal Arya, Ryan Babbush, Joseph C. Bardin, Andreas Bengtsson, Alexandre Bourassa, Michael Broughton, Bob B. Buckley, David A. Buell, Nicholas Bushnell, Benjamin Chiaro, Roberto Collins, William Courtney, Alan R. Derk, Daniel Eppens, Catherine Erickson, Edward Farhi, Brooks Foxen, Marissa Giustina, Jonathan A. Gross, Matthew P. Harrigan, Sean D. Harrington, Jeremy Hilton, Alan Ho, Trent Huang, William J. Huggins, L. B. Ioffe, Sergei V. Isakov, Evan Jeffrey, Zhang Jiang, Kostyantyn Kechedzhi, Seon Kim, Fedor Kostritsa, David Landhuis, Pavel Laptev, Erik Lucero, Orion Martin, Jarrod R. McClean, Trevor McCourt, Xiao Mi, Kevin C. Miao, Masoud Mohseni, Wojciech Mruczkiewicz, Josh Mutus, Ofer Naaman, Matthew Neeley, Charles Neill, Michael Newman, Murphy Yuezhen Niu, Thomas E. O'Brien, Alex Opremcak, Eric Ostby, Bálint Pató, Nicholas Redd, Pedram Roushan, Nicholas C. Rubin, Vladimir Shvarts, Doug Strain, Marco Szalay, Matthew D. Trevithick, Benjamin Villalonga, Theodore White, Z. Jamie Yao, Ping Yeh, Adam Zalcman, Hartmut Neven, Sergio Boixo, Vadim Smelyanskiy, Yu Chen, Anthony Megrant, and Julian Kelly. 2021. Exponential suppression of bit or phase flip errors with repetitive error correction. arXiv:2102.06132 [quant-ph]
[10]
Poulami Das, Christopher A Pattison, Srilatha Manne, Douglas Carmean, Krysta Svore, Moinuddin Qureshi, and Nicolas Delfosse. 2020. A scalable decoder micro-architecture for fault-tolerant quantum computing. arXiv preprint arXiv:2001.06598 (2020).
[11]
Yongshan Ding, Adam Holmes, Ali Javadi-Abhari, Diana Franklin, Margaret Martonosi, and Frederic Chong. 2018. Magic-state functional units: Mapping and scheduling multi-level distillation circuits for fault-tolerant quantum architectures. In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 828--840.
[12]
David P DiVincenzo and Panos Aliferis. 2007. Effective fault-tolerant quantum computation with slow measurements. Physical review letters 98, 2 (2007), 020501.
[13]
Edward Farhi, Jeffrey Goldstone, and Sam Gutmann. 2014. A quantum approximate optimization algorithm. arXiv preprint arXiv:1411.4028 (2014).
[14]
Austin G Fowler and Craig Gidney. 2018. Low overhead quantum computation using lattice surgery. arXiv preprint arXiv:1808.06709 (2018).
[15]
Austin G Fowler, Matteo Mariantoni, John M Martinis, and Andrew N Cleland. 2012. Surface codes: Towards practical large-scale quantum computation. Physical Review A 86, 3 (2012), 032324.
[16]
Xiang Fu, Lingling Lao, Koen Bertels, and Carmen G Almudever. 2019. A control microarchitecture for fault-tolerant quantum computing. Microprocessors and Microsystems 70 (2019), 21--30.
[17]
Xiang Fu, Leon Riesebos, Lingling Lao, Carmen G Almudever, Fabio Sebastiano, Richard Versluis, Edoardo Charbon, and Koen Bertels. 2016. A heterogeneous quantum computer architecture. In Proceedings of the ACM International Conference on Computing Frontiers. 323--330.
[18]
Craig Gidney. 2021. Stim: a fast stabilizer circuit simulator. Quantum 5 (July 2021), 497.
[19]
Craig Gidney and Martin Ekerå. 2021. How to factor 2048 bit RSA integers in 8 hours using 20 million noisy qubits. Quantum 5 (2021), 433.
[20]
Craig Gidney and Austin G Fowler. 2019. Efficient magic state factories with a catalyzed |CCZ) to 2|T⟩ transformation. Quantum 3 (2019), 135.
[21]
Yoshihito Hashimoto, Shinichi Yorozu, Toshiyuki Miyazaki, Yoshio Kameda, Hideo Suzuki, and Nobuyuki Yoshikawa. 2007. Implementation and experimental evaluation of a cryocooled system prototype for high-throughput SFQ digital applications. IEEE transactions on applied superconductivity 17, 2 (2007), 546--551.
[22]
Adam Holmes, Yongshan Ding, Ali Javadi-Abhari, Diana Franklin, Margaret Martonosi, and Frederic T Chong. 2019. Resource optimized quantum architectures for surface code implementations of magic-state distillation. Microprocessors and Microsystems 67 (2019), 56--70.
[23]
Adam Holmes, Mohammad Reza Jokar, Ghasem Pasandi, Yongshan Ding, Mas-soud Pedram, and Frederic T Chong. 2020. NISQ+: Boosting quantum computing power by approximating quantum error correction. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). IEEE, 556--569.
[24]
J. M. Hornibrook, J. I. Colless, I. D. Conway Lamb, S. J. Pauka, H. Lu, A. C. Gossard, J. D. Watson, G. C. Gardner, S. Fallahi, M. J. Manfra, and D. J. Reilly. 2015. Cryogenic Control Architecture for Large-Scale Quantum Computing. Phys. Rev. Applied 3 (Feb 2015), 024010. Issue 2.
[25]
Clare Horsman, Austin G Fowler, Simon Devitt, and Rodney Van Meter. 2012. Surface code quantum computing by lattice surgery. New Journal of Physics 14, 12 (2012), 123011.
[26]
Caleb Howington, Alex Opremcak, Robert McDermott, Alex Kirichenko, Oleg A. Mukhanov, and Britton L. T. Plourde. 2019. Interfacing Superconducting Qubits With Cryogenic Logic: Readout. IEEE Transactions on Applied Superconductivity 29, 5 (2019), 1--5.
[27]
IBM. 2020. IBM's roadmap for scaling quantum technology. https://research.ibm.com/blog/ibm-quantum-roadmap [Online Accessed, 23-April-2022].
[28]
IBM. 2021. IBM Quantum breaks the 100-qubit processor barrier. https://research.ibm.com/blog/127-qubit-quantum-processor-eagle [Online Accessed, 23-April-2022].
[29]
Koki Ishida, Ilkwon Byun, Ikki Nagaoka, Kosuke Fukumitsu, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Jangwoo Kim, and Koji Inoue. 2020. SuperNPU: An extremely fast neural processing unit using superconducting logic devices. In 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 58--72.
[30]
Canali Jacoboni, C Canali, G Ottaviani, and A Alberigi Quaranta. 1977. A review of some charge transport properties of silicon. Solid-State Electronics 20, 2 (1977), 77--89.
[31]
Ali Javadi-Abhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Kenneth R Brown, Margaret Martonosi, and Frederic T Chong. 2017. Optimized surface code communication in superconducting quantum computers. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. 692--705.
[32]
Mohammad Reza Jokar, Richard Rines, Ghasem Pasandi, Haolin Cong, Adam Holmes, Yunong Shi, Massoud Pedram, and Frederic T Chong. 2022. DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic. arXiv preprint arXiv:2202.01407 (2022).
[33]
N Cody Jones, Rodney Van Meter, Austin G Fowler, Peter L McMahon, Jungsang Kim, Thaddeus D Ladd, and Yoshihisa Yamamoto. 2012. Layered architecture for quantum computing. Physical Review X 2, 3 (2012), 031007.
[34]
Kiseo Kang, Donggyu Minn, Seunghun Bae, Jaeho Lee, Seongun Bae, Gichang Jung, Seokhyeong Kang, Moonjoo Lee, Ho-Jin Song, and Jae-Yoon Sim. 2022. A Cryo-CMOS Controller IC With Fully Integrated Frequency Generators for Superconducting Qubits. In 2022 IEEE International Solid-State Circuits Conference (ISSCC), Vol. 65. IEEE, 362--364.
[35]
J. Kelly, R. Barends, A. G. Fowler, A. Megrant, E. Jeffrey, T. C. White, D. Sank, J. Y. Mutus, B. Campbell, Yu Chen, Z. Chen, B. Chiaro, A. Dunsworth, I.-C. Hoi, C. Neill, P. J. J. O'Malley, C. Quintana, P. Roushan, A. Vainsencher, J. Wenner, A. N. Cleland, and John M. Martinis. 2015. State preservation by repetitive error detection in a superconducting quantum circuit. Nature 519, 7541 (01 Mar 2015), 66--69.
[36]
DE Kirichenko, Saad Sarwana, and AF Kirichenko. 2011. Zero static power dissipation biasing of RSFQ circuits. IEEE Transactions on Applied Superconductivity 21, 3 (2011), 776--779.
[37]
Morten Kjaergaard, Mollie E Schwartz, Jochen Braumüller, Philip Krantz, Joel I-J Wang, Simon Gustavsson, and William D Oliver. 2020. Superconducting qubits: Current state of play. Annual Review of Condensed Matter Physics 11 (2020), 369--395.
[38]
Jens Koch, M Yu Terri, Jay Gambetta, Andrew A Houck, David I Schuster, Johannes Majer, Alexandre Blais, Michel H Devoret, Steven M Girvin, and Robert J Schoelkopf. 2007. Charge-insensitive qubit design derived from the Cooper pair box. Physical Review A 76, 4 (2007), 042319.
[39]
Sebastian Krinner, Simon Storz, Philipp Kurpiers, Paul Magnard, Johannes Heinsoo, Raphael Keller, Janis Luetolf, Christopher Eichler, and Andreas Wallraff. 2019. Engineering cryogenic setups for 100-qubit scale superconducting circuit systems. EPJ Quantum Technology 6, 1 (2019), 2.
[40]
Florent Lecocq, Franklyn Quinlan, Katarina Cicak, Jose Aumentado, SA Diddams, and JD Teufel. 2021. Control and readout of a superconducting qubit using a photonic link. Nature 591, 7851 (2021), 575--579.
[41]
Gyu-hyeon Lee, Dongmoon Min, Ilkwon Byun, and Jangwoo Kim. 2019. Cryogenic computer architecture modeling with memory-side case studies. In Proceedings of the 46th International Symposium on Computer Architecture. 774--787.
[42]
Gyu-Hyeon Lee, Seongmin Na, Ilkwon Byun, Dongmoon Min, and Jangwoo Kim. 2021. CryoGuard: A Near Refresh-Free Robust DRAM Design for Cryogenic Computing. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 637--650.
[43]
E. Leonard, M. A. Beck, J. Nelson, B.G. Christensen, T. Thorbeck, C. Howington, A. Opremcak, I.V. Pechenezhskiy, K. Dodge, N.P. Dupuis, M.D. Hutchings, J. Ku, F. Schlenker, J. Suttle, C. Wilen, S. Zhu, M.G. Vavilov, B.L.T. Plourde, and R. McDermott. 2019. Digital Coherent Control of a Superconducting Qubit. Phys. Rev. Applied 11 (Jan 2019), 014009. Issue 1.
[44]
Konstantin K Likharev and Vasilii K Semenov. 1991. RSFQ logic/memory family: A new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Transactions on Applied Superconductivity 1, 1 (1991), 3--28.
[45]
Daniel Litinski. 2019. A game of surface codes: Large-scale quantum computing with lattice surgery. Quantum 3 (2019), 128.
[46]
Daniel Litinski. 2019. Magic state distillation: Not as costly as you think. Quantum 3 (2019), 205.
[47]
Sam McArdle, Suguru Endo, Alán Aspuru-Guzik, Simon C Benjamin, and Xiao Yuan. 2020. Quantum computational chemistry. Reviews of Modern Physics 92, 1 (2020), 015003.
[48]
R McDermott, MG Vavilov, BLT Plourde, FK Wilhelm, PJ Liebermann, OA Mukhanov, and TA Ohki. 2018. Quantum-classical interface based on single flux quantum digital logic. Quantum science and technology 3, 2 (2018), 024004.
[49]
Mohammadreza Mehrpoo, Bishnu Patra, Jiang Gong, JPG van Dijk, H Homulle, G Kiene, A Vladimirescu, F Sebastiano, E Charbon, and M Babaie. 2019. Benefits and challenges of designing cryogenic CMOS RF circuits for quantum computers. In 2019 IEEE International Symposium on Circuits and Systems(ISCAS). IEEE, 1--5.
[50]
Dongmoon Min, Ilkwon Byun, Gyu-Hyeon Lee, Seongmin Na, and Jangwoo Kim. 2020. Cryocache: A fast, large, and cost-effective cache architecture for cryogenic computing. In Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems. 449--464.
[51]
Dongmoon Min, Yujin Chung, Ilkwon Byun, Junpyo Kim, and Jangwoo Kim. 2022. CryoWire: wire-driven microarchitecture designs for cryogenic computing. In Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 903--917.
[52]
Prakash Murali, Jonathan M Baker, Ali Javadi-Abhari, Frederic T Chong, and MargaretMartonosi. 2019. Noise-adaptive compiler mappings for noisy intermediate-scale quantum computers. In Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems. 1015--1029.
[53]
Jong-Seok Park, Sushil Subramanian, Lester Lampert, Todor Mladenov, Ilya Klotchkov, Dileep J. Kurian, Esdras Juarez-Hernandez, Brando Perez-Esparza, Sirisha Rani Kale, K. T. Asma Beevi, Shavindra Premaratne, Thomas Watson, Satoshi Suzuki, Mustafijur Rahman, Jaykant B. Timbadiya, Saksham Soni, and Stefano Pellerano. 2021. 13.1 A Fully Integrated Cryo-CMOS SoC for Qubit Control in Quantum Computers Capable of State Manipulation, Readout and High-Speed Gate Pulsing of Spin Qubits in Intel 22nm FFL FinFET Technology. In 2021 IEEE International Solid- State Circuits Conference (ISSCC), Vol. 64. 208--210.
[54]
S. J. Pauka, K. Das, R. Kalra, A. Moini, Y. Yang, M. Trainer, A. Bousquet, C. Cantaloube, N. Dick, G. C. Gardner, M. J. Manfra, and D. J. Reilly. 2021. A cryogenic CMOS chip for generating control signals for multiple qubits. Nature Electronics 4, 1 (01 Jan 2021), 64--70.
[55]
JJ Plombon, Ebrahim Andideh, Valery M Dubin, and Jose Maiz. 2006. Influence of phonon, geometry, impurity, and grain size on copper line resistivity. Applied physics letters 89, 11 (2006), 113124.
[56]
John Preskill. 1998. Fault-tolerant quantum computation. In Introduction to quantum computation and information. World Scientific, 213--269.
[57]
Moinuddin Qureshi and Swamit Tannu. 2021. Quantum Computing and the Design of the Ultimate Accelerator. IEEE Micro 41, 5 (2021), 8--14.
[58]
Leon Riesebos, Xiang Fu, Savvas Varsamopoulos, Carmen G Almudever, and Koen Bertels. 2017. Pauli frames for quantum computer architectures. In Proceedings of the 54th Annual Design Automation Conference 2017. 1--6.
[59]
Ashish Shukla, Benjamin Chonigman, Anubhav Sahu, Dmitri Kirichenko, Amol Inamdar, and Deepnarayan Gupta. 2019. Investigation of passive transmission lines for the MIT-LL SFQ5ee process. IEEE Transactions on Applied Superconductivity 29, 5 (2019), 1--7.
[60]
Jennifer Pearl Smith, Benjamin A. Mazin, Alex B. Walter, Miguel Daal, J.I. Bailey, Clinton Bockstiegel, Nicholas Zobrist, Noah Swimmer, Sarah Steiger, and Neelay Fruitwala. 2021. Flexible Coaxial Ribbon Cable for High-Density Superconducting Microwave Device Arrays. IEEE Transactions on Applied Superconductivity 31, 1 (2021), 1--5.
[61]
James E. Stine, Ivan Castellanos, Michael Wood, Jeff Henson, Fred Love, W. Rhett Davis, Paul D. Franzon, Michael Bucher, Sunil Basavarajaiah, Julie Oh, and Ravi Jenkal. 2007. FreePDK: An Open-Source Variation-Aware Design Kit. In 2007 IEEE International Conference on Microelectronic Systems Education (MSE'07). 173--174.
[62]
Synopsys. 2022. Synopsys DC Ultra. https://www.synopsys.com/implementation-and-signoff/rtl-synthesis-test/dc-ultra.html [Online Accessed, 23-April-2022].
[63]
Swamit S Tannu, Douglas M Carmean, and Moinuddin K Qureshi. 2017. Cryogenic-DRAM based memory system for scalable quantum computers: a feasibility study. In Proceedings of the International Symposium on Memory Systems. 189--195.
[64]
Swamit S Tannu, Zachary A Myers, Prashant J Nair, Douglas M Carmean, and Moinuddin K Qureshi. 2017. Taming the instruction bandwidth of quantum computers via hardware-managed error correction. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. 679--691.
[65]
Barbara M Terhal. 2015. Quantum error correction for quantum memories. Reviews of Modern Physics 87, 2 (2015), 307.
[66]
Barbara M Terhal. 2018. Quantum supremacy, here we come. Nature Physics 14, 6 (2018), 530--531.
[67]
Sergey K Tolpygo, Vladimir Bolkhovsky, Terence J Weir, Alex Wynn, Daniel E Oates, Leonard M Johnson, and Mark A Gouker. 2016. Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Transactions on Applied Superconductivity 26, 3 (2016), 1--10.
[68]
Yu Tomita and Krysta M Svore. 2014. Low-distance surface codes under realistic quantum noise. Physical Review A 90, 6 (2014), 062320.
[69]
Yosuke Ueno, Masaaki Kondo, Masamitsu Tanaka, Yasunari Suzuki, and Yutaka Tabuchi. 2021. QECOOL: On-Line Quantum Error Correction with a Superconducting Decoder for Surface Code. In 2021 58th ACM/IEEE Design Automation Conference (DAC). 451--456.
[70]
Jeroen Petrus Gerardus Van Dijk, Bishnu Patra, Sushil Subramanian, Xiao Xue, Nodar Samkharadze, Andrea Corna, Charles Jeon, Farhana Sheikh, Esdras Juarez-Hernandez, Brando Perez Esparza, Huzaifa Rampurawala, Brent R. Carlton, Surej Ravikumar, Carlos Nieva, Sungwon Kim, Hyung-Jin Lee, Amir Sammak, Giordano Scappucci, Menno Veldhorst, Lieven M. K. Vandersypen, Edoardo Charbon, Stefano Pellerano, Masoud Babaie, and Fabio Sebastiano. 2020. A Scalable Cryo-CMOS Controller for the Wideband Frequency-Multiplexed Control of Spin Qubits and Transmons. IEEE Journal of Solid-State Circuits 55, 11 (2020), 2930--2946.
[71]
Clifford Wolf. 2016. Yosys open synthesis suite.
[72]
Yuki Yamanashi, Toshiki Kainuma, Nobuyuki Yoshikawa, Irina Kataeva, Hiroyuki Akaike, Akira Fujimaki, Masamitsu Tanaka, Naofumi Takagi, Shuichi Nagasawa, and Mutsuo Hidaka. 2010. 100 GHz demonstrations based on the single-fluxquantum cell library for the 10kA/cm2 Nb multi-layer process. IEICE transactions on electronics 93, 4 (2010), 440--444.
[73]
Farzaneh Zokaee and Lei Jiang. 2021. SMART: A Heterogeneous Scratchpad Memory Architecture for Superconductor SFQ-based Systolic CNN Accelerators. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. 912--924.

Cited By

View all
  • (2025)C3-VQA: Cryogenic Counter-Based Coprocessor for Variational Quantum AlgorithmsIEEE Transactions on Quantum Engineering10.1109/TQE.2024.35214426(1-17)Online publication date: 2025
  • (2025)qConnect: Increasing Qubit Connectivity in Quantum Computing Fabric Using Active Transmission LinesIEEE Transactions on Applied Superconductivity10.1109/TASC.2025.353418435:5(1-4)Online publication date: Aug-2025
  • (2025)Low-Power Single-Flux-Quantum Standard Cell Library Using 250 A/cm$^{2}$ Process for Qubit Control ApplicationsIEEE Transactions on Applied Superconductivity10.1109/TASC.2024.352189235:5(1-5)Online publication date: Aug-2025
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '22: Proceedings of the 49th Annual International Symposium on Computer Architecture
June 2022
1097 pages
ISBN:9781450386104
DOI:10.1145/3470496
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CS TCAA: IEEE CS technical committee on architectural acoustics

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 11 June 2022

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. cryogenic computing
  2. modeling
  3. quantum computing
  4. simulation
  5. single flux quantum (SFQ)

Qualifiers

  • Research-article

Funding Sources

  • Institute of Information & Communications Technology Planning & Evaluation
  • Korean Government
  • Inter-university Semiconductor Research Center at Seoul National University
  • Creative Pioneering Researchers Program through Seoul National University
  • Automation and Systems Research Institute (ASRI)

Conference

ISCA '22
Sponsor:

Acceptance Rates

ISCA '22 Paper Acceptance Rate 67 of 400 submissions, 17%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)292
  • Downloads (Last 6 weeks)37
Reflects downloads up to 15 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2025)C3-VQA: Cryogenic Counter-Based Coprocessor for Variational Quantum AlgorithmsIEEE Transactions on Quantum Engineering10.1109/TQE.2024.35214426(1-17)Online publication date: 2025
  • (2025)qConnect: Increasing Qubit Connectivity in Quantum Computing Fabric Using Active Transmission LinesIEEE Transactions on Applied Superconductivity10.1109/TASC.2025.353418435:5(1-4)Online publication date: Aug-2025
  • (2025)Low-Power Single-Flux-Quantum Standard Cell Library Using 250 A/cm$^{2}$ Process for Qubit Control ApplicationsIEEE Transactions on Applied Superconductivity10.1109/TASC.2024.352189235:5(1-5)Online publication date: Aug-2025
  • (2024)Synthesis of Resource-Efficient Superconducting Circuits with Clock-Free Alternating LogicProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3657376(1-6)Online publication date: 23-Jun-2024
  • (2024)Preliminary Design Space Exploration for ASIC Implementation of Control Systems in Fault-Tolerant Quantum Computers2024 IEEE International Conference on Quantum Computing and Engineering (QCE)10.1109/QCE60285.2024.10437(626-627)Online publication date: 15-Sep-2024
  • (2024)SuperCore: An Ultra-Fast Superconducting Processor for Cryogenic Applications2024 57th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO61859.2024.00112(1532-1547)Online publication date: 2-Nov-2024
  • (2023)Astrea: Accurate Quantum Error-Decoding via Practical Minimum-Weight Perfect-MatchingProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589037(1-16)Online publication date: 17-Jun-2023
  • (2023)Design and Fabrication of Low-Power Single-Flux-Quantum Circuits Toward Quantum Bit ControlIEEE Transactions on Applied Superconductivity10.1109/TASC.2023.325130433:5(1-5)Online publication date: Aug-2023

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media