skip to main content
research-article

Performance and Power Estimation of STT-MRAM Main Memory with Reliable System-level Simulation

Published:14 January 2022Publication History
Skip Abstract Section

Abstract

It is questionable whether DRAM will continue to scale and will meet the needs of next-generation systems. Therefore, significant effort is invested in research and development of novel memory technologies. One of the candidates for next-generation memory is Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM). STT-MRAM is an emerging non-volatile memory with a lot of potential that could be exploited for various requirements of different computing systems. Being a novel technology, STT-MRAM devices are already approaching DRAM in terms of capacity, frequency, and device size. Although STT-MRAM technology got significant attention of various major memory manufacturers, academic research of STT-MRAM main memory remains marginal. This is mainly due to the unavailability of publicly available detailed timing and current parameters of this novel technology, which are required to perform a reliable main memory simulation on performance and power estimation. This study demonstrates an approach to perform a cycle accurate simulation of STT-MRAM main memory, being the first to release detailed timing and current parameters of this technology from academia—essentially enabling researchers to conduct reliable system-level simulation of STT-MRAM using widely accepted existing simulation infrastructure. The results show a fairly narrow overall performance deviation in response to significant variations in key timing parameters, and the power consumption experiments identify the key power component that is mostly affected with STT-MRAM.

REFERENCES

  1. [1] Abe K., Noguchi H., Kitagawa E., Shimomura N., Ito J., and Fujita S.. 2012. Novel hybrid DRAM/MRAM design for reducing power of high performance mobile CPU. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’12).Google ScholarGoogle ScholarCross RefCross Ref
  2. [2] Asifuzzaman Kazi, Pavlovic Milan, Radulovic Milan, Zaragoza David, Kwon Ohseong, Ryoo Kyung-Chang, and Radojković Petar. 2016. Performance impact of a slower main memory: A case study of STT-MRAM in HPC. In Proceedings of the 2nd International Symposium on Memory Systems(MEMSYS’16). Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. [3] Augustine C., Raychowdhury A., Somasekhar D., Tschanz J., Roy K., and De V. K.. 2010. Numerical analysis of typical STT-MTJ stacks for 1T-1R memory arrays. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’10).Google ScholarGoogle ScholarCross RefCross Ref
  4. [4] Dodo S. Ben, Bishnoi R., and Tahoori M. B.. 2020. Secure STT-MRAM bit-cell design resilient to differential power analysis attacks. IEEE Trans. VLSI Syst. 28, 1 (2020), 263272.Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Bhati Ishwar, Chang Mu-Tien, Chishti Zeshan, Lu Shih-Lien, and Jacob Bruce. 2015. DRAM refresh mechanisms, penalties, and trade-offs. IEEE Trans. Comput. 658, 1 (2015), 108121. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. [6] Bishnoi R., Ebrahimi M., Oboril F., and Tahoori M. B.. 2014. Asynchronous Asymmetrical Write Termination (AAWT) for a low power STT-MRAM. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’14). 16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. [7] Caulfield A. M., Coburn J., Mollov T., De A., Akel A., He Jiahua, Jagatheesan A., Gupta R. K., Snavely A., and Swanson S.. 2010. Understanding the impact of emerging non-volatile memories on high-performance, IO-Intensive computing. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC’10). Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. [8] Daly John T.. 2007. ADTSC Nuclear Weapons Highlights: Facilitating High Throughput ASC Calculations. Technical Report LALP-07-041, Los Alamos National Laboratory, Los Alamos, NM.Google ScholarGoogle Scholar
  9. [9] Daly John T., Pritchett-Sheats Lori A., and Michalak Sarah E.. 2008. Application MTTFE vs. Platform MTTF: A fresh perspective on system reliability and application throughput for computations at scale. In Proceedings of the Workshop on Resiliency in High Performance Computing. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. [10] Dieny B., Speriosu V. S., Parkin S. S. P., Gurney B. A., Wilhoit D. R., and Mauri D.. 1991. Giant magnetoresistive in soft ferromagnetic multilayers. Phys. Rev. B (1991).Google ScholarGoogle ScholarCross RefCross Ref
  11. [11] Dong X., Xu C., Xie Y., and Jouppi N. P.. 2012. NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans. Comput.-aid. Des. Integr. Circ. Syst. 31, 7 (2012), 9941007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. [12] Elnozahy E. N. (Mootaz), Alvisi Lorenzo, Wang Yi-Min, and Johnson David B.. 2002. a survey of rollback-recovery protocols in message-passing systems. ACM Comput. Surv. (2002). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. [13] Inc. Everspin Technologies,2015. Everspin Enhances RIM Smart Meters with Instantly Non-volatile, Low-energy MRAM Memory. Retrieved from http://www.everspin.com/everspin-embedded-mram.Google ScholarGoogle Scholar
  14. [14] Inc. Everspin Technologies,2016. Everspin Displays Both the 1Gb DDR4 Perpendicular ST-MRAM Device and a 1GByte DDR3 Memory Module (DIMM) at Stand A3-545. Retrieved from https://www.everspin.com/news/everspin-previews-upcoming-products-electronica.Google ScholarGoogle Scholar
  15. [15] Ferreira Kurt, Riesen Rolf, Oldfield Ron, Stearley Jon, Laros James, Pedretti Kevin, Kordenbrock Todd, and Brightwell Ron. 2009. Increasing fault resiliency in a message-passing environment. Technical Report, Sandia National Laboratories.Google ScholarGoogle Scholar
  16. [16] Halupka D., Huda S., Song W., Sheikholeslami A., Tsunoda K., Yoshida C., and Aoki M.. 2010. Negative-resistance read and write schemes for STT-MRAM in 0.13um CMOS. In Proceedings of the IEEE International Solid State Circuits Conference.Google ScholarGoogle Scholar
  17. [17] Hargrove Paul H. and Duell Jason C.. 2006. Berkeley Lab Checkpoint/Restart (BLCR) for Linux Clusters. J. Phys.46 (2006).Google ScholarGoogle Scholar
  18. [18] Henning John L.. 2006. SPEC CPU2006 benchmark descriptions. SIGARCH Comput. Archit. News34 (2006), 117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. [19] Hosomi M., Yamagishi H., Yamamoto T., Bessho K., Higo Y., Yamane K., Yamada H., Shoji M., Hachino H., Fukumoto C., Nagao H., and Kano H.. 2005. A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM. In Proceedings of the IEEE International Electron Devices Meeting.Google ScholarGoogle ScholarCross RefCross Ref
  20. [20] Hu G., Nowak J. J., Gottwald M. G., Brown S. L., Doris B., D’Emic C. P., Hashemi P., Houssameddine D., He Q., Kim D., Kim J., Kothandaraman C., Lauer G., Lee H. K., Marchack N., Reuter M., Robertazzi R. P., Sun J. Z., Suwannasiri T., Trouilloud P. L., Woo S., and Worledge D. C.. 2019. Spin-transfer torque MRAM with reliable 2 ns writing for last level cache applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’19). 2.6.1–2.6.4.Google ScholarGoogle ScholarCross RefCross Ref
  21. [21] Hwang Andy A., Stefanovici Ioan, and Schroeder Bianca. 2012. Cosmic rays don’t strike twice: Understanding the nature of DRAM errors and the implications for system design. In Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’12). Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. [22] Ikeda S., Miura K., Yamamoto H., Mizunuma K., Gan H. D., Endo M., Kanai S., Hayakawa J., Matsukura F., and Ohno H.. 2010. A perpendicular-anisotropy cofeb–mgo magnetic tunnel junction. In Nature Materials, Vol. 9. 721724.Google ScholarGoogle Scholar
  23. [23] Intel. 2015. Intel® 64 and IA-32 Architectures Optimization Reference Manual.Google ScholarGoogle Scholar
  24. [24] Corporation Intel. 2017. Intel 64 and IA-32 Architectures Software Developer Manuals.Google ScholarGoogle Scholar
  25. [25] ITRS. 2015. 2013 International Technology Roadmap for Semiconductors. Retrieved from http://www.itrs.net/Links/2013ITRS/Home2013.htm.Google ScholarGoogle Scholar
  26. [26] Jacob B.. 2009. The Memory System: You Can’t Avoid It; You Can’t Ignore It; You Can’t Fake It. M. Morgan & Claypool Publishers, Reading, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. [27] Ferreira Karthick Rajamani Jente Kuang Kyu-Hyoun Kim Hillery Hunter Luis Lastras Xiaochen Guo Janani Mukundan, Alexandre. 2018. Design of High-performance, Resilient, STT-MRAM-based Main Memory. Retrieved from https://domino.research.ibm.com/library/cyberdig.nsf/papers/.Google ScholarGoogle Scholar
  28. [28] Jiang Lei, Wen Wujie, Wang D., and Duan L.. 2016. improving read performance of STT-MRAM based main memories through smash read and flexible read. In Proceedings of the 21st Asia and South Pacific Design Automation Conference (ASP-DAC’16).Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. [29] Jog A., Mishra A. K., Xu Cong, Xie Yuan, Narayanan V., Iyer R., and Das C. R.. 2012. cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs. In Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC’12). Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. [30] Meza Jing Li Justin and Mutlu Onur. 2012. Evaluating row buffer locality in future non-volatile main memories. Safari Technical Report No. 2012-002.Google ScholarGoogle Scholar
  31. [31] Katine J. A., Albert F. J., Buhrman R. A., Myers E. B., and Ralph D. C.. 2000. Current-driven magnetization reversal and spin-wave excitations in co \(/\)Cu \(/\)Co pillars. Phys. Rev. Lett. 84, 14 (2000), 31493152.Google ScholarGoogle ScholarCross RefCross Ref
  32. [32] Kim C., Kang D., Kim H., Park C. W., Sohn D. H., Lee Y. S., Kang S., Oh H. R., and Cha S.. 2013. Magnetic random access memory. US Patent App. 13/768,858. Google ScholarGoogle Scholar
  33. [33] Kim H., Kang S. K., Sohn D. H., Kim D. M., and Lee K. C.. 2013. Magneto-resistive memory device including source line voltage generator. US Patent App. 13/832,101. Google ScholarGoogle Scholar
  34. [34] Kim Yoongu, Daly R., Kim J., Fallin C., Lee Ji Hye, Lee Donghyuk, Wilkerson C., Lai K., and Mutlu O.. 2014. flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors. In Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture (ISCA’14). Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. [35] Kogge Peter, Bergman Keren, Borkar Shekhar, Campbell Dan, Carlson William, Dally William, Denneau Monty, Franzon Paul, Harrod William, Hill Kerry, Hiller Jon, Karp Sherman, Keckler Stephen, Klein Dean, Lucas Robert, Richards Mark, Scarpelli Al, Scott Steven, Snavely Allan, Sterling Thomas, Williams R. Stanley, and Yelick Katherine. 2008. exascale computing study: Technology challenges in achieving exascale systems.Google ScholarGoogle Scholar
  36. [36] Komalan M., Sakhare S., Bao T. H., Rao S., Kim W., Tenllado C., Gómez J. I., Kar G. S., Furnemont A., and Catthoor F.. 2017. Cross-layer design and analysis of a low power, high density STT-MRAM for embedded systems. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’17). 14.Google ScholarGoogle ScholarCross RefCross Ref
  37. [37] Kultursay E., Kandemir M., Sivasubramaniam A., and Mutlu O.. 2013. evaluating STT-RAM as an energy-efficient main memory alternative. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’13).Google ScholarGoogle ScholarCross RefCross Ref
  38. [38] Li Dong, Vetter Jeffrey S., Marin Gabriel, McCurdy Collin, Cira Cristian, Liu Zhuo, and Yu Weikuan. 2012. identifying opportunities for byte-addressable non-volatile memory in extreme-scale scientific applications. In Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium (IPDPS’12). Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. [39] Li Hai, Wang Xiaobin, Ong Zhong-Liang, Wong Weng-Fai, Zhang Yaojun, Wang Peiyuan, and Chen Yiran. 2011. performance, power, and reliability tradeoffs of STT-RAM cell subject to architecture-level requirement. IEEE Trans. Magn. 47, 10 (2011), 23562359.Google ScholarGoogle ScholarCross RefCross Ref
  40. [40] Li Jianhua, Xue C. J., and Xu Yinlong. 2011. STT-RAM based energy-efficiency hybrid cache for CMPs. In Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-chip (VLSI-SoC’11).Google ScholarGoogle ScholarCross RefCross Ref
  41. [41] Liu Jamie, Jaiyen Ben, Veras Richard, and Mutlu Onur. 2012. RAIDR: Retention-aware intelligent DRAM refresh. In Proceedings of the 39th Annual International Symposium on Computer Architecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. [42] Jacob M. T. Chang and P. Rosenfeld and S. L. Lu and B.. 2013. Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM. In Proceedings of the IEEE 19th International Symposium on High Performance Computer Architecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. [43] Maurice Clémentine, Scouarnec Nicolas Le, Neumann Christoph, Heen Olivier, and Francillon Aurélien. 2015. Reverse engineering intel last-level cache complex addressing using performance counters. Research in Attacks, Intrusions and Defenses. 4865. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. [44] McVoy Larry and Staelin Carl. 1996. lmbench: Portable tools for performance analysis. In Proceedings of the Annual Conference on USENIX Annual Technical Conference(ATEC’96). Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. [45] Muralimanohar Naveen, Balasubramonian Rajeev, and Jouppi Norman P.. 2009. CACTI 6.0: A Tool to Understand Large Caches. HP Technical Report HPL-2009-85 (2009).Google ScholarGoogle Scholar
  46. [46] Nebashi R., Sakimura N., Honjo H., Saito S., Ito Y., Miura S., Kato Y., Mori K., Ozaki Y., Kobayashi Y., Ohshima N., Kinoshita K., Suzuki T., Nagahara K., Ishiwata N., Suemitsu K., Fukami S., Hada H., Sugibayashi T., and Kasai N.. 2009. a 90nm 12ns 32Mb 2T1MTJ MRAM. In Proceedings of the IEEE International Solid-state Circuits Conference.Google ScholarGoogle ScholarCross RefCross Ref
  47. [47] Noguchi H., Kushida K., Ikegami K., Abe K., Kitagawa E., Kashiwada S., Kamata C., Kawasumi A., Hara H., and Fujita S.. 2013. A 250-MHz 256b-I/O 1-Mb STT-MRAM with advanced perpendicular MTJ based dual cell for nonvolatile magnetic caches to reduce active power of processors. In Proceedings of the Symposium on VLSI Technology (VLSIT’13).Google ScholarGoogle Scholar
  48. [48] Nowak J. J., Robertazzi R. P., Sun J. Z., Hu G., Park J. H., Lee J., Annunziata A. J., Lauer G. P., Kothandaraman R., O’Sullivan E. J., Trouilloud P. L., Kim Y., and Worledge D. C.. 2016. Dependence of voltage and size on write error rates in spin-transfer torque magnetic random-access memory. IEEE Magn. Lett. 7 (2016), 14.Google ScholarGoogle ScholarCross RefCross Ref
  49. [49] Oh H. R.. 2014. resistive memory device, system including the same and method of reading data in the same. US Patent App. 14/094,021.Google ScholarGoogle Scholar
  50. [50] Oldfield R. A., Arunagiri S., Teller P. J., Seelam S., Varela M. R., Riesen R., and Roth P. C.. 2007. Modeling the impact of checkpoints on next-generation systems. In Proceedings of the 24th IEEE Conference on Mass Storage Systems and Technologies. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. [51] Pajouhi Zoha, Fong Xuanyao, and Roy Kaushik. 2015. Device/Circuit/Architecture co-design of reliable STT-MRAM. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition.Google ScholarGoogle ScholarCross RefCross Ref
  52. [52] Poremba M. and Xie Y.. 2012. NVMain: An architectural-level main memory simulator for emerging non-volatile memories. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. [53] Rho K., Tsuchida K., Kim D., Shirai Y., Bae J., Inaba T., Noro H., Moon H., Chung S., Sunouchi K., Park J., Park K., Yamamoto A., Chung S., Kim H., Oyamatsu H., and Oh J.. 2017. 23.5 a 4Gb LPDDR2 STT-MRAM with compact 9F2 1T1MTJ cell and hierarchical bitline architecture. In Proceedings of the IEEE International Solid-state Circuits Conference (ISSCC’17).Google ScholarGoogle ScholarCross RefCross Ref
  54. [54] Rosenfeld P., Cooper-Balis E., and Jacob B.. 2011. DRAMSim2: A cycle accurate memory system simulator. IEEE Comput. Arch. Lett. 10, 1 (2011), 1619. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. [55] Sakhare S., Perumkunnil M., Bao T. H., Rao S., Kim W., Crotti D., Yasin F., Couet S., Swerts J., Kundu S., Yakimets D., Baert R., Oh H., Spessot A., Mocuta A., Kar G. S., and Furnemont A.. 2018. Enablement of STT-MRAM as last level cache for the high performance computing domain at the 5nm node. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’18). 18.3.1–18.3.4.Google ScholarGoogle ScholarCross RefCross Ref
  56. [56] Ltd Samsung Electronics Co.,. 2011. 240pin Registered DIMM Based on 2Gb d-die. Retrieved from https://www.sam-sung.com/semiconductor/global.semi/file/resource/2017/11/ds_ddr3_2gb_d-die_based_1_35v_rdimm_rev12-2.pdf.Google ScholarGoogle Scholar
  57. [57] Sanchez Daniel and Kozyrakis Christos. 2013. ZSim: Fast and accurate microarchitectural simulation of thousand-core systems. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA’13). Google ScholarGoogle ScholarDigital LibraryDigital Library
  58. [58] Schroeder Bianca, Pinheiro Eduardo, and Weber Wolf-Dietrich. 2009. DRAM errors in the wild: A large-scale field study. In Proceedings of the 11th International Joint Conference on Measurement and Modeling of Computer Systems. Google ScholarGoogle ScholarDigital LibraryDigital Library
  59. [59] Smullen C. W., Mohan V., Nigam A., Gurumurthi S., and Stan M. R.. 2011. relaxing non-volatility for fast and energy-efficient STT-RAM caches. In Proceedings of the IEEE 17th International Symposium on High Performance Computer Architecture (HPCA’11). Google ScholarGoogle ScholarDigital LibraryDigital Library
  60. [60] Sodani Avinash. 2011. Race to exascale: Opportunities and challenges. In Proceedings ofthe 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). Google ScholarGoogle ScholarDigital LibraryDigital Library
  61. [61] Spong J. K., Speriosu, Fontana Robert E., Dovek Moris M., and Hylton T. L.. 1996. giant magnetoresistive spin valve bridge sensor. IEEE Trans. Magn. 32, 2 (1996), 366371.Google ScholarGoogle ScholarCross RefCross Ref
  62. [62] Sridharan Vilas and Liberty Dean. 2012. A study of DRAM failures in the field. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis. Google ScholarGoogle ScholarDigital LibraryDigital Library
  63. [63] Sridharan Vilas, Stearley Jon, DeBardeleben Nathan, Blanchard Sean, and Gurumurthi Sudhanva. 2013. feng shui of supercomputer memory: Positional effects in DRAM and SRAM faults. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis. Google ScholarGoogle ScholarDigital LibraryDigital Library
  64. [64] Stevens Rick, White Andy, Beckman Pete, Bair Ray, Hack Jim, Nichols Jeff, Geist Al, Simon Horst, Yelick Kathy, Shalf John, Ashby Steve, Khaleel Moe, McCoy Michel, Seager Mark, Gorda Brent, Morrison John, Wampler Cheryl, Peery James, Dosanjh Sudip, Ang Jim, Davenport Jim, Schlagel Tom, Johnson Fred, and Messina Paul. 2010. A decadal DOE plan for providing exascale applications and technologies for DOE mission needs. Presentation at Advanced Simulation and Computing Principal Investigators Meeting.Google ScholarGoogle Scholar
  65. [65] Sun Guangyu, Dong Xiangyu, Xie Yuan, Li Jian, and Chen Yiran. 2009. a novel architecture of the 3D stacked MRAM L2 cache for CMPs. In Proceedings of the IEEE 15th International Symposium on High Performance Computer Architecture (HPCA’09).Google ScholarGoogle ScholarCross RefCross Ref
  66. [66] Sun Zhenyu, Bi Xiuyuan, Li Hai (Helen), Wong Weng-Fai, Ong Zhong-Liang, Zhu Xiaochun, and Wu Wenqing. 2011. multi retention level STT-RAM cache designs with a dynamic refresh scheme. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). Google ScholarGoogle ScholarDigital LibraryDigital Library
  67. [67] Suresh A., Cicotti P., and Carrington L.. 2014. evaluation of emerging memory technologies for HPC, data intensive applications. In Proceedings of the IEEE International Conference on Cluster Computing (CLUSTER’14).Google ScholarGoogle ScholarCross RefCross Ref
  68. [68] Top500. 2017. Top500 Supercomuter Sites. Retrieved from http://www.top500.org/.Google ScholarGoogle Scholar
  69. [69] Vetter Jeffrey S. and Mittal Sparsh. 2015. Opportunities for nonvolatile memory systems in extreme-scale high performance computing. Comput. Sci. Eng. 17, 2 (2015), 7382. Google ScholarGoogle ScholarDigital LibraryDigital Library
  70. [70] Wang David, Ganesh Brinda, Tuaycharoen Nuengwong, Baynes Kathleen, Jaleel Aamer, and Jacob Bruce. 2005. DRAMsim: A memory system simulator. SIGARCH Comput. Archit. News 33, 4 (2005). Google ScholarGoogle ScholarDigital LibraryDigital Library
  71. [71] Wang Jue, Dong Xiangyu, and Xie Yuan. 2014. Enabling high-performance LPDDRx-compatible MRAM. In Proceedings of the International Symposium on Low Power Electronics and Design(ISLPED’14). Google ScholarGoogle ScholarDigital LibraryDigital Library
  72. [72] Wulf Wm. A. and McKee Sally A.. 1995. Hitting the memory wall: Implications of the obvious. SIGARCH Comput. Archit. News 23–1 (1995), 2024. Google ScholarGoogle ScholarDigital LibraryDigital Library
  73. [73] Xie Yuan. 2011. modeling, architecture, and applications for emerging memory technologies. IEEE Des. Test Comput. (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  74. [74] Zhou Ping, Zhao Bo, Yang Jun, and Zhang Youtao. 2009. energy reduction for STT-RAM using early write termination. In Proceedings of the IEEE/ACM International Conference on Computer-aided Design.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Performance and Power Estimation of STT-MRAM Main Memory with Reliable System-level Simulation

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Embedded Computing Systems
          ACM Transactions on Embedded Computing Systems  Volume 21, Issue 1
          January 2022
          288 pages
          ISSN:1539-9087
          EISSN:1558-3465
          DOI:10.1145/3505211
          Issue’s Table of Contents

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 14 January 2022
          • Accepted: 1 July 2021
          • Revised: 1 May 2021
          • Received: 1 January 2021
          Published in tecs Volume 21, Issue 1

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Full Text

        View this article in Full Text.

        View Full Text

        HTML Format

        View this article in HTML Format .

        View HTML Format