skip to main content
research-article

Energy Efficient Error Resilient Multiplier Using Low-power Compressors

Published:17 November 2021Publication History
Skip Abstract Section

Abstract

The approximate hardware design can save huge energy at the cost of errors incurred in the design. This article proposes the approximate algorithm for low-power compressors, utilized to build approximate multiplier with low energy and acceptable error profiles. This article presents two design approaches (DA1 and DA2) for higher bit size approximate multipliers. The proposed multiplier of DA1 have no propagation of carry signal from LSB to MSB, resulted in a very high-speed design. The increment in delay, power, and energy are not exponential with increment of multiplier size (n) for DA1 multiplier. It can be observed that the maximum combinations lie in the threshold Error Distance of 5% of the maximum value possible for any particular multiplier of size n. The proposed 4-bit DA1 multiplier consumes only 1.3 fJ of energy, which is 87.9%, 78%, 94%, 67.5%, and 58.9% less when compared to M1, M2, LxA, MxA, accurate designs respectively. The DA2 approach is recursive method, i.e., n-bit multiplier built with n/2-bit sub-multipliers. The proposed 8-bit multiplication has 92% energy savings with Mean Relative Error Distance (MRED) of 0.3 for the DA1 approach and at least 11% to 40% of energy savings with MRED of 0.08 for the DA2 approach. The proposed multipliers are employed in the image processing algorithm of DCT, and the quality is evaluated. The standard PSNR metric is 55 dB for less approximation and 35 dB for maximum approximation.

REFERENCES

  1. [1] Saeed Ansari Mohammad, Jiang Honglan, F. Cockburn Bruce, and Han Jie. 2018. Low-power approximate multipliers using encoded partial products and approximate compressors. IEEE journal on Emerging and Selected Topics in Circuits and Systems 8, 3 (2018), 404416.Google ScholarGoogle Scholar
  2. [2] Ansari Mohammad Saeed, Jiang Honglan, Cockburn Bruce F., and Han Jie. 2018. Low-Power approximate multipliers using encoded partial products and approximate compressors. IEEE J. Emerg. Select. Top. Circ. Syst. (2018).Google ScholarGoogle ScholarCross RefCross Ref
  3. [3] Bhardwaj Kartikeya and S. Mane Pravin. 2013. ACMA: Accuracy-configurable multiplier architecture for error-resilient system-on-chip. In 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC). IEEE, 1–6.Google ScholarGoogle Scholar
  4. [4] Bhardwaj Kartikeya, S. Mane Pravin, and Henkel Jörg. 2014. Power-and area-efficient approximate wallace tree multiplier for error-resilient systems. In Fifteenth International Symposium on Quality Electronic Design. IEEE, 263269.Google ScholarGoogle Scholar
  5. [5] Chippa Vinay K., Jayakumar Hrishikesh, Mohapatra Debabrata, Roy Kaushik, and Raghunathan Anand. 2013. Energy-efficient recognition and mining processor using scalable effort design. In Proceedings of the IEEE Custom Integrated Circuits Conference. IEEE, 14.Google ScholarGoogle ScholarCross RefCross Ref
  6. [6] Chippa Vinay Kumar, Mohapatra Debabrata, Roy Kaushik, Chakradhar Srimat T., and Raghunathan Anand. 2014. Scalable effort hardware design. IEEE Trans. VLSI Syst. 22, 9 (2014), 20042016.Google ScholarGoogle ScholarCross RefCross Ref
  7. [7] Garg Bharat and Patel Sujit. 2021. Reconfigurable rounding based approximate multiplier for energy efficient multimedia applications. Wireless Pers. Commun. 118, 2 (2021), 919931.Google ScholarGoogle ScholarCross RefCross Ref
  8. [8] Garg Bharat, Patel Sujit Kumar, and Dutt Sunil. 2020. Loba: A leading one bit based imprecise multiplier for efficient image processing. J. Electr. Test. 36 (2020), 429437.Google ScholarGoogle ScholarCross RefCross Ref
  9. [9] Ha Minho and Lee Sunggu. 2018. Multipliers with approximate 4–2 compressors and error recovery modules. IEEE Embed. Syst. Lett. 10, 1 (2018), 69. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. [10] Hashemi Soheil, Bahar R. Iris, and Reda Sherief. 2015. DRUM: A dynamic range unbiased multiplier for approximate applications. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’15). IEEE, 418425. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. [11] Kulkarni Parag, Gupta Puneet, and Ercegovac Milos. 2011. Trading accuracy for power with an underdesigned multiplier architecture (unpublished). Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. [12] Kyaw Khaing Yin, Goh Wang Ling, and Yeo Kiat Seng. 2010. Low-power high-speed multiplier for error-tolerant application. (unpublished).Google ScholarGoogle Scholar
  13. [13] Li Shikai, Park Sunghyun, and Mahlke Scott. 2018. Sculptor: Flexible approximation with selective dynamic loop perforation. In Proceedings of the 2018 International Conference on Supercomputing. 341351. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. [14] Liu Weiqiang, Lombardi Fabrizio, and Shulte Michael. [n. d.]. A retrospective and prospective view of approximate computing.Google ScholarGoogle Scholar
  15. [15] Liu Weiqiang, Qian Liangyu, Wang Chenghua, Jiang Honglan, Han Jie, and Lombardi Fabrizio. 2017. Design of approximate radix-4 booth multipliers for error-tolerant computing. IEEE Trans. Comput. 66, 8 (2017), 14351441.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. [16] Low Joshua Yung Lih and Jong Ching Chuen. 2014. Unified Mitchell-based approximation for efficient logarithmic conversion circuit. IEEE Trans. Comput. 64, 6 (2014), 17831797.Google ScholarGoogle Scholar
  17. [17] Mahdiani Hamid Reza, Ahmadi Ali, Fakhraie Sied Mehdi, and Lucas Caro. 2010. Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans. Circ. Syst. I: Regul. Pap. 57, 4 (2010), 850862. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. [18] Mitchell John N.. 1962. Computer multiplication and division using binary logarithms. IRE Transactions on Electronic Computers4 (1962), 512517.Google ScholarGoogle ScholarCross RefCross Ref
  19. [19] Momeni Amir, Han Jie, Montuschi Paolo, and Lombardi Fabrizio. 2015. Design and analysis of approximate compressors for multiplication. IEEE Trans. Comput. 64, 4 (2015), 984994.Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. [20] Narayanamoorthy Srinivasan, Moghaddam Hadi Asghari, Liu Zhenhong, Park Taejoon, and Kim Nam Sung. 2015. Energy-Efficient approximate multiplication for digital signal processing and classification applications. IEEE Trans. VLSI Syst. 23, 6 (2015), 11801184. https://doi.org/10.1109/TVLSI.2014.2333366Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. [21] Rehman Semeen, El-Harouni Walaa, Shafique Muhammad, Kumar Akash, Henkel Jorg, and Henkel Jörg. 2016. Architectural-space exploration of approximate multipliers. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD’16), 18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. [22] Saadat Hassaan, Bokhari Haseeb, and Parameswaran Sri. 2018. Minimally biased multipliers for approximate integer and floating-point multiplication. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 37, 11 (2018), 26232635.Google ScholarGoogle ScholarCross RefCross Ref
  23. [23] Samadi Mehrzad, Jamshidi Davoud Anoushe, Lee Janghaeng, and Mahlke Scott. 2014. Paraprox: Pattern-based approximation for data parallel applications. In Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems. 3550. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. [24] Vahdat Shaghayegh, Kamal Mehdi, Afzali-Kusha Ali, and Pedram Massoud. 2017. LETAM: A low energy truncation-based approximate multiplier. Comput. Electr. Eng. 63 (2017), 117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. [25] Vasudevan Madhu and Chakrabarti Chaitali. 2014. Image processing using approximate datapath units (unpublished).Google ScholarGoogle Scholar
  26. [26] Venkatachalam Suganthi, Lee Hyuk Jae, and Ko Seok-Bum. 2018. Power efficient approximate booth multiplier. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’18). IEEE, 14.Google ScholarGoogle ScholarCross RefCross Ref
  27. [27] Venkataramani Swagath, Chakradhar Srimat T., Roy Kaushik, and Raghunathan Anand. 2015. Computing approximately, and efficiently. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’15). IEEE, 748751. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. [28] Waris Haroon, Wang Chenghua, Liu Weiqiang, Han Jie, and Lombardi Fabrizio. 2020. Hybrid partial product-based high-performance approximate recursive multipliers. IEEE Trans. Emerg. Top. Comput. (2020).Google ScholarGoogle Scholar
  29. [29] Yang Zhixi, Han Jie, and Lombardi Fabrizio. 2015. Approximate compressors for error-resilient multiplier design (unpublished).Google ScholarGoogle Scholar
  30. [30] Zendegani Reza, Kamal Mehdi, Bahadori Milad, Afzali-Kusha Ali, and Pedram Massoud. 2016. RoBA multiplier: A rounding-based approximate multiplier for high-speed yet energy-efficient digital signal processing. IEEE Trans. VLSI Syst. 25, 2 (2016), 393401. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy Efficient Error Resilient Multiplier Using Low-power Compressors

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Design Automation of Electronic Systems
          ACM Transactions on Design Automation of Electronic Systems  Volume 27, Issue 3
          May 2022
          245 pages
          ISSN:1084-4309
          EISSN:1557-7309
          DOI:10.1145/3498355
          Issue’s Table of Contents

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 17 November 2021
          • Revised: 1 September 2021
          • Accepted: 1 September 2021
          • Received: 1 May 2021
          Published in todaes Volume 27, Issue 3

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Refereed
        • Article Metrics

          • Downloads (Last 12 months)59
          • Downloads (Last 6 weeks)7

          Other Metrics

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Full Text

        View this article in Full Text.

        View Full Text

        HTML Format

        View this article in HTML Format .

        View HTML Format