skip to main content
10.1145/3489517.3530433acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Public Access

A scalable symbolic simulation tool for low power embedded systems

Published:23 August 2022Publication History

ABSTRACT

Recent work has demonstrated the effectiveness of using symbolic simulation to perform hardware software co-analysis on an application-processor pair and developed a variety of hardware and software design techniques and optimizations, ranging from providing system security guarantees to automated generation of application-specific bespoke processors. Despite their potential benefits, current state-of-the-art symbolic simulation tools for hardware-software co-analysis are restricted in their applicability, since prior work relies on a costly process of building a custom simulation tool for each processor design to be simulated. Furthermore, prior work does not describe how to extend the symbolic analysis technique to other processor designs.

In an effort to generalize the technique for any processor design, we propose a custom symbolic simulator that uses iverilog to perform symbolic behavioral simulation. With iverilog - an open source synthesis and simulation tool - we implement a design-agnostic symbolic simulation tool for hardware-software co-analysis. To demonstrate the generality of our tool, we apply symbolic analysis to three embedded processors with different ISAs: bm32 (a MIPS-based processor), darkRiscV (a RISC-V-based processor), and openMSP430 (based on MSP430). We use analysis results to generate bespoke processors for each design and observe gate count reductions of 27%, 16%, and 56% on these processors, respectively. Our results demonstrate the versatility of our simulation tool and the uniqueness of each design with respect to symbolic analysis and the bespoke methodology.

References

  1. Nathan Bleier, John Sartori, and Rakesh Kumar. 2021. Property-driven Automatic Generation of Reduced-ISA Hardware. In 2021 58th ACM/IEEE Design Automation Conference (DAC). IEEE, 349--354.Google ScholarGoogle Scholar
  2. Jacob Borgeson. 2012. Ultra-low-power pioneers: TI slashes total MCU power by 50 percent with new "Wolverine" MCU platform. Texas Instruments White Paper (2012). http://www.ti.com/lit/wp/slay019a/slay019a.pdfGoogle ScholarGoogle Scholar
  3. Cadence. [n.d.]. Encounter User Guide. http://www.cadence.com/Google ScholarGoogle Scholar
  4. Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar, and John Sartori. 2017. Bespoke processors for applications with ultra-low area and power constraints. In 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA). 41--54. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar, and John Sartori. 2017. Determining application-specific peak power and energy requirements for ultra-low-power processors. ACM Trans. on Computer Systems (TOCS) 35, 3 (2017), 1--33.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar, and John Sartori. 2017. Enabling Effective Module-oblivious Power Gating for Embedded Processors. In 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 157--168.Google ScholarGoogle Scholar
  7. Hari Cherupalli, Henry Duwe, Weidong Ye, Rakesh Kumar, and John Sartori. 2017. Software-based gate-level information flow security for IoT systems. In Procs. of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. 328--340.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Hari Cherupalli, Rakesh Kumar, and John Sartori. 2016. Exploiting Dynamic Timing Slack for Energy Efficiency in Ultra-Low-Power Embedded Systems. In Computer Architecture (ISCA), 2016 43th Annual International Symposium on. IEEE.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. darklife. 2021. DarkRISCV open source riscv implementation. (2021). https://github.com/darklife/darkriscvGoogle ScholarGoogle Scholar
  10. Sunil R Das, Sujoy Mukherjee, Emil M Petriu, Mansour H Assaf, Mehmet Sahinoglu, and Wen-Ben Jone. 2006. An improved fault simulation approach based on verilog with application to ISCAS benchmark circuits. In 2006 IEEE Instrumentation and Measurement Technology Conference Proceedings. IEEE, 1902--1907.Google ScholarGoogle ScholarCross RefCross Ref
  11. Olivier Gerard. 2018. openMSP430, a synthesizable 16bit microcontroller core written in Verilog. (2018). https://opencores.org/projects/openmsp430Google ScholarGoogle Scholar
  12. Paul Gerrish, Erik Herrmann, Larry Tyler, and Kevin Walsh. 2005. Challenges and constraints in designing implantable medical ICs. IEEE Transactions on Device and Materials Reliability 5, 3 (2005), 435--444.Google ScholarGoogle ScholarCross RefCross Ref
  13. O Girard. 2013. OpenMSP430 project. available at opencores.org (2013).Google ScholarGoogle Scholar
  14. G. Hackmann, Weijun Guo, Guirong Yan, Zhuoxiong Sun, Chenyang Lu, and S. Dyke. 2014. Cyber-Physical Codesign of Distributed Structural Health Monitoring with Wireless Sensor Networks. Parallel and Distributed Systems, IEEE Transactions on 25, 1 (Jan 2014), 63--72. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Shashank Hegde, Subhash Sethumurugan, Hari Cherupalli, Henry Duwe, and John Sartori. 2021. Constrained Conservative State Symbolic Co-analysis for Ultra-low-power Embedded Systems. In 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC). 318--324.Google ScholarGoogle Scholar
  16. BK Charlotte Kjellander, Wiljan TT Smaal, Kris Myny, Jan Genoe, Wim Dehaene, Paul Heremans, and Gerwin H Gelinck. 2013. Optimized circuit design for flexible 8-bit RFID transponders with active layer of ink-jet printed small molecule semiconductors. Organic Electronics 14, 3 (2013), 768--774.Google ScholarGoogle ScholarCross RefCross Ref
  17. Michele Magno, Luca Benini, Christian Spagnol, and E Popovici. 2013. Wearable low power dry surface wireless sensor node for healthcare monitoring application. In Wireless and Mobile Computing, Networking and Communications (WiMob), 2013 IEEE 9th International Conference on. IEEE, 189--195.Google ScholarGoogle Scholar
  18. Veni Mohan, Akhilesh Iyer, and John Sartori. 2018. Enhancing Workload-dependent Voltage Scaling for Energy-efficient Ultra-low-power Embedded Systems. In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC). 1--6.Google ScholarGoogle Scholar
  19. Kris Myny, Steve Smout, Maarten Rockelé, Ajay Bhoolokam, Tung Huei Ke, Soeren Steudel, Brian Cobb, Aashini Gulati, Francisco Gonzalez Rodriguez, Koji Obata, et al. 2014. A thin-film microprocessor with inkjet print-programmable memory. Scientific reports 4 (2014), 7398.Google ScholarGoogle Scholar
  20. K. Myny, E. van Veenendaal, G. H. Gelinck, J. Genoe, W. Dehaene, and P. Heremans. 2011. An 8b organic microprocessor on plastic foil. In 2011 IEEE International Solid-State Circuits Conference. 322--324. Google ScholarGoogle ScholarCross RefCross Ref
  21. Seetharam Narasimhan, Hillel J Chiel, and Swarup Bhunia. 2011. Ultra-low-power and robust digital-signal-processing hardware for implantable neural interface microsystems. IEEE trans. on biomedical circuits and systems 5, 2 (2011), 169--178.Google ScholarGoogle ScholarCross RefCross Ref
  22. Chulsung Park, Pai H Chou, Ying Bai, Robert Matthews, and Andrew Hibbs. 2006. An ultra-wearable, wireless, low power ECG monitoring system. In Biomedical Circuits and Systems Conference, 2006. BioCAS 2006. IEEE. IEEE, 241--244.Google ScholarGoogle ScholarCross RefCross Ref
  23. Benjamin Ransford, Jacob Sorber, and Kevin Fu. 2012. Mementos: system support for long-running computation on RFID-scale devices. Acm Sigplan Notices 47, 4 (2012), 159--170.Google ScholarGoogle ScholarCross RefCross Ref
  24. C. Roth, L.K. John, and B.K. Lee. 2015. Digital Systems Design Using Verilog. Cengage Learning. https://books.google.com/books?id=Qi1BBAAAQBAJGoogle ScholarGoogle Scholar
  25. Synopsys. [n.d.]. Design Compiler User Guide. http://www.synopsys.com/Google ScholarGoogle Scholar
  26. Synopsys. [n.d.]. VCS/VCSi User Guide. http://www.synopsys.com/Google ScholarGoogle Scholar
  27. Andrew Waterman, Yunsup Lee, David A. Patterson, and Krste Asanović. 2014. The RISC-V Instruction Set Manual, Volume I: User-Level ISA, Version 2.0. Technical Report UCB/EECS-2014-54. EECS Department, University of California, Berkeley. http://www2.eecs.berkeley.edu/Pubs/TechRpts/2014/EECS-2014-54.htmlGoogle ScholarGoogle Scholar
  28. Wikipedia. 2016. List of wireless sensor nodes. https://en.wikipedia.org/wiki/List_of_wireless_sensor_nodes [Online; accessed 7-April-2016].Google ScholarGoogle Scholar
  29. Stephen Williams and Michael Baxter. 2002. Icarus Verilog: Open-Source Verilog More than a Year Later. Linux J. 2002, 99 (jul 2002), 3.Google ScholarGoogle Scholar
  30. Ross Yu and Thomas Watteyne. 2013. Reliable, Low Power Wireless Sensor Networks for the Internet of Things: Making Wireless Sensors as Accessible as Web Servers. Linear Technology (2013). http://cds.linear.com/docs/en/white-paper/wp003.pdfGoogle ScholarGoogle Scholar
  31. Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Todd Austin, Dennis Sylvester, et al. 2009. Energy-efficient subthreshold processor design. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 17, 8 (2009), 1127--1137.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
    July 2022
    1462 pages
    ISBN:9781450391429
    DOI:10.1145/3489517

    Copyright © 2022 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 23 August 2022

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA
  • Article Metrics

    • Downloads (Last 12 months)212
    • Downloads (Last 6 weeks)42

    Other Metrics

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader