skip to main content
10.1145/3489517.3530577acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Y-architecture-based flip-chip routing with dynamic programming-based bend minimization

Published:23 August 2022Publication History

ABSTRACT

In modern VLSI designs, I/O counts have been growing continuously as the system becomes more complicated. To achieve higher routability, the hexagonal array is introduced with higher pad density and a larger pitch. However, the routing for hexagonal arrays is significantly different from that for traditional gird and staggered arrays. In this paper, we consider the Y-architecture-based flip-chip routing used for the hexagonal array. Unlike the conventional Manhattan and the X-architectures, the Y-architecture allows wires to be routed in three directions, namely, 0-, 60-, and 120-degrees. We first analyze the routing properties of the hexagonal array. Then, we propose a triangular tile model and a chord-based internal node division method that can handle both pre-assignment and free-assignment nets without wire crossing. Finally, we develop a novel dynamic programming-based bend minimization method to reduce the number of routing bends in the final solution. Experimental results show that our algorithm can achieve 100% routability with minimized total wirelength and the number of routing bends effectively.

References

  1. Algorithmic Solutions Software GmbH. 2021. LEDA: the library of efficient data types and algorithms. https://www.algorithmic-solutions.com/Google ScholarGoogle Scholar
  2. Yu-Jie Cai, Yang Hsu, and Yao-Wen Chang. 2021. Simultaneous pre- and free-assignment routing for multiple redistribution layers with irregular vias. In Proc. of ACM/IEEE DAC. 1147--1152.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Hongyu Chen, Chung-Kuan Cheng, Andrew B Kahng, Ion I Mandoiu, Qinke Wang, and Bo Yao. 2005. The Y architecture for on-chip interconnect: analysis and methodology. IEEE TCAD 24, 4 (2005), 588--599.Google ScholarGoogle Scholar
  4. Hongyu Chen, Bo Yao, Feng Zhou, and Chung-Kuan Cheng. 2003. The Y-architecture: yet another on-chip interconnect solution. In Proc. of IEEE/ACM ASP-DAC. 840--847.Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Fu-Yu Chuang and Yao-Wen Chang. 2021. Optical routing considering waveguide matching constraints. In Proc. of IEEE/ACM ICCAD.Google ScholarGoogle Scholar
  6. Jia-Wei Fang and Yao-Wen Chang. 2008. Area-I/O flip-chip routing for chip-package codesign. In Proc. of IEEE/ACM ICCAD. 518--522.Google ScholarGoogle Scholar
  7. Jia-Wei Fang, Chin-Hsiung Hsu, and Yao-Wen Chang. 2009. An integer-linear-programming-based routing algorithm for flip-chip designs. IEEE TCAD 28, 1 (2009), 98--110.Google ScholarGoogle Scholar
  8. Jia-Wei Fang, I-Jye Lin, Yao-Wen Chang, and Jyh-Herng Wang. 2007. A network-flow-based RDL routing algorithmz for flip-chip design. IEEE TCAD 26, 8 (2007), 1417--1429.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Jia-Wei Fang, Martin D. F. Wong, and Yao-Wen Chang. 2009. Flip-chip routing with unified area-I/O pad assignments for package-board co-design. In Proc. of ACM/IEEE DAC. 336--339.Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Yuan-Kai Ho, Hsu-Chieh Lee, and Yao-Wen Chang. 2013. Escape routing for staggered-pinarray PCBs. IEEE TCAD 32, 9 (2013), 1347--1356.Google ScholarGoogle Scholar
  11. Yuan-Kai Ho, Hsu-Chieh Lee, Webber Lee, Yao-Wen Chang, Chen-Feng Chang, I-Jye Lin, and Chin-Fang Shen. 2014. Obstacle-avoiding free-assignment routing for flip-chip designs. IEEE TCAD 33, 2 (2014), 224--236.Google ScholarGoogle Scholar
  12. Hsu-Chieh Lee, Yao-Wen Chang, and Po-Wei Lee. 2010. Recent research development in flip-chip routing. In Proc. of IEEE/ACM ICCAD. 404--410.Google ScholarGoogle ScholarCross RefCross Ref
  13. Bo-Qiao Lin, Ting-Chou Lin, and Yao-Wen Chang. 2016. Redistribution layer routing for integrated fan-out wafer-level chip-scale packages. In Proc. of IEEE/ACM ICCAD. 1--8.Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Chung-Wei Lin, Po-Wei Lee, Yao-Wen Chang, Chin-Fang Shen, and Wei-Chih Tseng. 2012. An efficient pre-assignment routing algorithm for flip-chip designs. IEEE TCAD 31, 6 (2012), 878--889.Google ScholarGoogle Scholar
  15. Ting-Chou Lin, Chia-Chih Chi, and Yao-Wen Chang. 2017. Redistribution layer routing for wafer-level integrated fan-out package-on-packages. In Proc. of IEEE/ACM ICCAD. 561--568.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Yu-Sheng Lu, Sheng-Jung Yu, and Yao-Wen Chang. 2020. A provably good wavelength-division-multiplexing-aware clustering algorithm for on-chip optical routing. In Proc. of ACM/IEEE DAC. 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  17. Rui Shi and Chung-Kuan Cheng. 2006. Efficient escape routing for hexagonal array of high density I/Os. In Proc. of ACM/IEEE DAC. 1003--1008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. The Boost organization. 2021. Boost C++ libraries. https://www.boost.org/Google ScholarGoogle Scholar
  19. Albert Titus, Bhanu Jaiswal, and T Dishongh. 2004. Innovative circuit board level routing designs for BGA packages. IEEE Trans. Adv. Packag. 27, 4 (2004), 630--639.Google ScholarGoogle ScholarCross RefCross Ref
  20. Hsiang-Ting Wen, Yu-Jie Cai, Yang Hsu, and Yao-Wen Chang. 2020. Via-based redistribution layer routing for InFO packages with irregular pad structures. In Proc. of ACM/IEEE DAC. 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  21. Jin-Tai Yan. 2018. Single-layer GNR routing for minimization of bending delay. IEEE TCAD 38, 11 (2018), 2099--2112.Google ScholarGoogle Scholar
  22. Jin-Tai Yan. 2020. Delay-constrained GNR routing for layer minimization. IEEE TVLSI 28, 11 (2020), 2356--2369.Google ScholarGoogle Scholar
  23. Tan Yan and Martin D. F. Wong. 2012. Correctly modeling the diagonal capacity in escape routing. IEEE TCAD 31, 2 (2012), 285--293.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Tao-Chun Yu, An-Jie Shih, and Shao-Yun Fang. 2019. Flip-chip routing with I/O planning considering practical pad assignment constraints. IEEE TVLSI 27, 8 (2019), 1921--1932.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
    July 2022
    1462 pages
    ISBN:9781450391429
    DOI:10.1145/3489517

    Copyright © 2022 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 23 August 2022

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader