skip to main content
research-article

Synthesis of Clock Networks with a Mode-Reconfigurable Topology

Published: 08 March 2022 Publication History

Abstract

Modern digital circuits are often required to operate in multiple modes to cater to variable frequency and power requirements. Consequently, the clock networks for such circuits must be synthesized, meeting different timing constraints in different operational modes. The overall power consumption and robustness to variations of a clock network are determined by the topology. However, state-of-the-art clock networks use the same topology in every mode, despite that timing constraints in low- and high-performance modes can be very different. In this article, we propose a clock network with a mode-reconfigurable topology (MRT) for circuits with positive-edge-triggered sequential elements. In high-performance modes, the MRT structure is reconfigured into a near-tree to provide the required robustness to variations. In low-performance modes, the MRT structure is reconfigured into a tree to save power. Non-tree (or near-tree) structures provide robustness to variations by appropriately constructing multiple alternative paths from the clock source to the clock sinks, which neutralizes the negative impact of variations. In MRT structures, OR-gates are used to join multiple alternative paths into a single path. Hence, the MRT structures consume no short-circuit power because there is only one gate driving each net. Moreover, it is straightforward to reconfigure an MRT structure into a tree topology using a single clock gate. In high-performance modes, the experimental results demonstrate that MRT structures have \(25\%\) lower power consumption than state-of-the-art near-tree structures. In low-performance modes, the power consumption of the MRT structure is similar to the power consumption of a clock tree.

References

[1]
A. Agarwal, D. Blaauw, and V. Zolotov. 2003. Statistical timing analysis for intra-die process variations with spatial correlations. In Proceedings of the International Conference on Computer Aided Design (IEEE Cat. No.03CH37486). 900–907.
[2]
K. D. Boese and A. B. Kahng. 1992. Zero-skew clock routing trees with minimum wirelength. In Proceedings of the IEEE International ASIC Conference and Exhibit. 17–21.
[3]
Shashank Bujimalla and Cheng-Kok Koh. 2011. Synthesis of low power clock trees for handling power-supply variations. In Proceedings of the International Symposium on Physical Design (ISPD’11). 37–44.
[4]
T.-H. Chao, Y.-C. Hsu, and J.-M. Ho. 1992. Zero skew clock net routing. In Proceedings of the Design Automation Conference (DAC). 518–523.
[5]
Y. P. Chen and D. F. Wong. 1996. An algorithm for zero-skew clock tree routing with buffer insertion. In Proceedings of the European Conference on Design and Test (EDTC’96). 230.
[6]
M. Edahiro. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proceedings of the Design Automation Conference (DAC). 612–616.
[7]
Rickard Ewetz, Shankarshana Janarthanan, and Cheng-Kok Koh. 2015. Construction of reconfigurable clock trees for MCMM designs. In Proceedings of the Design Automation Conference (DAC). 1–6.
[8]
Rickard Ewetz, Shankarshana Janarthanan, Cheng-Kok Koh, and Chuan Yean Tan. 2017. Benchmark Circuits for Clock Scheduling and Synthesis. (Version 3.0). Purdue University Research Repository. DOI:
[9]
Rickard Ewetz and Cheng-Kok Koh. 2015. Cost-effective robustness in clock networks using near-tree structures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 4 (2015), 515–528.
[10]
J.P. Fishburn. 1990. Clock skew optimization. IEEE Trans. Comput. 39, 7 (1990), 945–951.
[11]
S. Held, B. Korte, J. Massberg, M. Ringe, and J. Vygen. 2003. Clock scheduling and clocktree construction for high performance ASICs. In Proceedings of the International Conference on Computer Aided Design (IEEE Cat. No.03CH37486) (ICCAD). 232–239.
[12]
Dong-Jin Lee and Igor L. Markov. 2011. Multilevel tree fusion for robust clock networks. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 632–639.
[13]
Jianchao Lu and Baris Taskin. 2009. Post-CTS clock skew scheduling with limited delay buffering. In Proceedings of the International Midwest Symposium on Circuits and Systems (MWSCAS). 224–227.
[14]
Tarun Mittal and Cheng-Kok Koh. 2011. Cross link insertion for improving tolerance to variations in clock network synthesis. In Proceedings of the International Symposium on Physical Design (ISPD). 29–36.
[15]
NGSPICE. [n. d.]. [Available Online] http://ngspice.sourceforge.net/.
[16]
A. Rajaram, Jiang Hu, and R. Mahapatra. 2004. Reducing clock skew variability via cross links. In Proceedings of the Design Automation Conference, 2004. (DAC). 18–23.
[17]
Anand Rajaram, David Z. Pan, and Jiang Hu. 2005. Improved algorithms for link-based non-tree clock networks for skew variability reduction. In Proceedings of the International Symposium on Physical Design (ISPD). 55–62.
[18]
Subhendu Roy, Pavlos M. Mattheakis, Laurent Masse-Navette, and David Z. Pan. 2015. Clock tree resynthesis for multi-corner multi-mode timing closure. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 4 (2015), 589–602.
[19]
Hyungjung Seo, Juyeon Kim, Minseok Kang, and Taewhan Kim. 2015. Synthesis for power-aware clock spines. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 126–131.
[20]
Xin-Wei Shih, Hsu-Chieh Lee, Kuan-Hsien Ho, and Yao-Wen Chang. 2010. High variation-tolerant obstacle-avoiding clock mesh synthesis with symmetrical driving trees. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 452–457.
[21]
V. Stojanovic, D. Markovic, B. Nikolic, M. A. Horowitz, and R. W. Brodersen. 2002. Energy-delay tradeoffs in combinational logic using gate sizing and supply voltage optimization. In Proceedings of the European Solid-State Circuits Conference. 211–214.
[22]
C. N. Sze. 2010. ISPD 2010 high performance clock network synthesis contest: Benchmark suite and results. In Proceedings of the International Symposium on Physical Design (ISPD). 143.
[23]
C. N. Sze, Phillip Restle, Gi-Joon Nam, and Charles Alpert. 2009. ISPD 2009 clock network synthesis contest. In Proceedings of the International Symposium on Physical Design (ISPD). 149–150.
[24]
Chung-wen Albert Tsao and Cheng-kok Koh. 2002. UST/DME: A clock tree router for general skew constraints. ACM Trans. Des. Autom. Electron. Syst. 7, 3 (2002), 359–379.
[25]
R.-S. Tsay. 1991. Exact zero skew. In Proceedings of the International Conference on Computer-Aided Design Digest of Technical Papers. 336–339.
[26]
Necati Uysal, Juan Ariel Cabrera, and Rickard Ewetz. 2020. Synthesis of clock networks with a mode reconfigurable topology and no short circuit current. In Proceedings of the International Symposium on Physical Design (ISPD). 103–110.
[27]
Ganesh Venkataraman, Zhuo Feng, Jiang Hu, and Peng Li. 2006. Combinatorial algorithms for fast clock mesh optimization. In Proceedings of the International Conference on Computer Aided Design (ICCAD). 563–567.
[28]
G. Venkataraman, N. Jayakumar, J. Hu, P. Li, Sunil Khatri, Anand Rajaram, P. McGuinness, and C. Alpert. 2005. Practical techniques to reduce skew and its variations in buffered clock networks. In Proceedings of the International Conference on Computer-Aided Design. (ICCAD). 592–596.
[29]
Laung-Terng Wang, Yao-Wen Chang, and Kwang-Ting (Tim) Cheng. 2009. Electronic Design Automation: Synthesis, Verification, and Test. Morgan-Kaufmann Publishers, Inc., San Francisco, CA.
[30]
Linfu Xiao, Zigang Xiao, Zaichen Qian, Yan Jiang, Tao Huang, Haitong Tian, and Evangeline F. Y. Young. 2010. Local clock skew minimization using blockage-aware mixed tree-mesh clock network. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 458–462.

Index Terms

  1. Synthesis of Clock Networks with a Mode-Reconfigurable Topology

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 27, Issue 4
    July 2022
    275 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3517032
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 08 March 2022
    Accepted: 01 November 2021
    Revised: 01 October 2021
    Received: 01 February 2021
    Published in TODAES Volume 27, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Clock network synthesis
    2. robustness to variations
    3. near-tree topology
    4. non-tree topology

    Qualifiers

    • Research-article
    • Refereed

    Funding Sources

    • National Science Foundation

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 232
      Total Downloads
    • Downloads (Last 12 months)44
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 19 Feb 2025

    Other Metrics

    Citations

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    Full Text

    HTML Format

    View this article in HTML Format.

    HTML Format

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media