skip to main content
research-article

Synthesis of Clock Networks with a Mode-Reconfigurable Topology

Published:08 March 2022Publication History
Skip Abstract Section

Abstract

Modern digital circuits are often required to operate in multiple modes to cater to variable frequency and power requirements. Consequently, the clock networks for such circuits must be synthesized, meeting different timing constraints in different operational modes. The overall power consumption and robustness to variations of a clock network are determined by the topology. However, state-of-the-art clock networks use the same topology in every mode, despite that timing constraints in low- and high-performance modes can be very different. In this article, we propose a clock network with a mode-reconfigurable topology (MRT) for circuits with positive-edge-triggered sequential elements. In high-performance modes, the MRT structure is reconfigured into a near-tree to provide the required robustness to variations. In low-performance modes, the MRT structure is reconfigured into a tree to save power. Non-tree (or near-tree) structures provide robustness to variations by appropriately constructing multiple alternative paths from the clock source to the clock sinks, which neutralizes the negative impact of variations. In MRT structures, OR-gates are used to join multiple alternative paths into a single path. Hence, the MRT structures consume no short-circuit power because there is only one gate driving each net. Moreover, it is straightforward to reconfigure an MRT structure into a tree topology using a single clock gate. In high-performance modes, the experimental results demonstrate that MRT structures have \( 25\% \) lower power consumption than state-of-the-art near-tree structures. In low-performance modes, the power consumption of the MRT structure is similar to the power consumption of a clock tree.

REFERENCES

  1. [1] Agarwal A., Blaauw D., and Zolotov V.. 2003. Statistical timing analysis for intra-die process variations with spatial correlations. In Proceedings of the International Conference on Computer Aided Design (IEEE Cat. No.03CH37486). 900907.Google ScholarGoogle ScholarCross RefCross Ref
  2. [2] Boese K. D. and Kahng A. B.. 1992. Zero-skew clock routing trees with minimum wirelength. In Proceedings of the IEEE International ASIC Conference and Exhibit. 1721.Google ScholarGoogle ScholarCross RefCross Ref
  3. [3] Bujimalla Shashank and Koh Cheng-Kok. 2011. Synthesis of low power clock trees for handling power-supply variations. In Proceedings of the International Symposium on Physical Design (ISPD’11). 3744.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. [4] Chao T.-H., Hsu Y.-C., and Ho J.-M.. 1992. Zero skew clock net routing. In Proceedings of the Design Automation Conference (DAC). 518523.Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Chen Y. P. and Wong D. F.. 1996. An algorithm for zero-skew clock tree routing with buffer insertion. In Proceedings of the European Conference on Design and Test (EDTC’96). 230.Google ScholarGoogle ScholarCross RefCross Ref
  6. [6] Edahiro M.. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proceedings of the Design Automation Conference (DAC). 612616.Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. [7] Ewetz Rickard, Janarthanan Shankarshana, and Koh Cheng-Kok. 2015. Construction of reconfigurable clock trees for MCMM designs. In Proceedings of the Design Automation Conference (DAC). 16.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. [8] Ewetz Rickard, Janarthanan Shankarshana, Koh Cheng-Kok, and Tan Chuan Yean. 2017. Benchmark Circuits for Clock Scheduling and Synthesis. (Version 3.0). Purdue University Research Repository. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  9. [9] Ewetz Rickard and Koh Cheng-Kok. 2015. Cost-effective robustness in clock networks using near-tree structures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 4 (2015), 515528.Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. [10] Fishburn J.P.. 1990. Clock skew optimization. IEEE Trans. Comput. 39, 7 (1990), 945951.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. [11] Held S., Korte B., Massberg J., Ringe M., and Vygen J.. 2003. Clock scheduling and clocktree construction for high performance ASICs. In Proceedings of the International Conference on Computer Aided Design (IEEE Cat. No.03CH37486) (ICCAD). 232239.Google ScholarGoogle ScholarCross RefCross Ref
  12. [12] Lee Dong-Jin and Markov Igor L.. 2011. Multilevel tree fusion for robust clock networks. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 632639.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. [13] Lu Jianchao and Taskin Baris. 2009. Post-CTS clock skew scheduling with limited delay buffering. In Proceedings of the International Midwest Symposium on Circuits and Systems (MWSCAS). 224227.Google ScholarGoogle ScholarCross RefCross Ref
  14. [14] Mittal Tarun and Koh Cheng-Kok. 2011. Cross link insertion for improving tolerance to variations in clock network synthesis. In Proceedings of the International Symposium on Physical Design (ISPD). 2936.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15] NGSPICE. [n. d.]. [Available Online] http://ngspice.sourceforge.net/.Google ScholarGoogle Scholar
  16. [16] Rajaram A., Hu Jiang, and Mahapatra R.. 2004. Reducing clock skew variability via cross links. In Proceedings of the Design Automation Conference, 2004. (DAC). 1823.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. [17] Rajaram Anand, Pan David Z., and Hu Jiang. 2005. Improved algorithms for link-based non-tree clock networks for skew variability reduction. In Proceedings of the International Symposium on Physical Design (ISPD). 5562.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. [18] Roy Subhendu, Mattheakis Pavlos M., Masse-Navette Laurent, and Pan David Z.. 2015. Clock tree resynthesis for multi-corner multi-mode timing closure. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 4 (2015), 589602.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. [19] Seo Hyungjung, Kim Juyeon, Kang Minseok, and Kim Taewhan. 2015. Synthesis for power-aware clock spines. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 126131.Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. [20] Shih Xin-Wei, Lee Hsu-Chieh, Ho Kuan-Hsien, and Chang Yao-Wen. 2010. High variation-tolerant obstacle-avoiding clock mesh synthesis with symmetrical driving trees. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 452457.Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. [21] Stojanovic V., Markovic D., Nikolic B., Horowitz M. A., and Brodersen R. W.. 2002. Energy-delay tradeoffs in combinational logic using gate sizing and supply voltage optimization. In Proceedings of the European Solid-State Circuits Conference. 211214.Google ScholarGoogle Scholar
  22. [22] Sze C. N.. 2010. ISPD 2010 high performance clock network synthesis contest: Benchmark suite and results. In Proceedings of the International Symposium on Physical Design (ISPD). 143.Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. [23] Sze C. N., Restle Phillip, Nam Gi-Joon, and Alpert Charles. 2009. ISPD 2009 clock network synthesis contest. In Proceedings of the International Symposium on Physical Design (ISPD). 149150.Google ScholarGoogle Scholar
  24. [24] Tsao Chung-wen Albert and Koh Cheng-kok. 2002. UST/DME: A clock tree router for general skew constraints. ACM Trans. Des. Autom. Electron. Syst. 7, 3 (2002), 359379.Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. [25] Tsay R.-S.. 1991. Exact zero skew. In Proceedings of the International Conference on Computer-Aided Design Digest of Technical Papers. 336339.Google ScholarGoogle ScholarCross RefCross Ref
  26. [26] Uysal Necati, Cabrera Juan Ariel, and Ewetz Rickard. 2020. Synthesis of clock networks with a mode reconfigurable topology and no short circuit current. In Proceedings of the International Symposium on Physical Design (ISPD). 103110.Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. [27] Venkataraman Ganesh, Feng Zhuo, Hu Jiang, and Li Peng. 2006. Combinatorial algorithms for fast clock mesh optimization. In Proceedings of the International Conference on Computer Aided Design (ICCAD). 563567.Google ScholarGoogle Scholar
  28. [28] Venkataraman G., Jayakumar N., Hu J., Li P., Khatri Sunil, Rajaram Anand, McGuinness P., and Alpert C.. 2005. Practical techniques to reduce skew and its variations in buffered clock networks. In Proceedings of the International Conference on Computer-Aided Design. (ICCAD). 592596.Google ScholarGoogle ScholarCross RefCross Ref
  29. [29] Wang Laung-Terng, Chang Yao-Wen, and Cheng Kwang-Ting (Tim). 2009. Electronic Design Automation: Synthesis, Verification, and Test. Morgan-Kaufmann Publishers, Inc., San Francisco, CA.Google ScholarGoogle Scholar
  30. [30] Xiao Linfu, Xiao Zigang, Qian Zaichen, Jiang Yan, Huang Tao, Tian Haitong, and Young Evangeline F. Y.. 2010. Local clock skew minimization using blockage-aware mixed tree-mesh clock network. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 458462.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Synthesis of Clock Networks with a Mode-Reconfigurable Topology

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 27, Issue 4
      July 2022
      275 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3517032
      Issue’s Table of Contents

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 8 March 2022
      • Accepted: 1 November 2021
      • Revised: 1 October 2021
      • Received: 1 February 2021
      Published in todaes Volume 27, Issue 4

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Refereed
    • Article Metrics

      • Downloads (Last 12 months)46
      • Downloads (Last 6 weeks)4

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    View Full Text

    HTML Format

    View this article in HTML Format .

    View HTML Format