skip to main content
10.1145/3505170.3506720acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining Machines

Published: 13 April 2022 Publication History

Abstract

Bitcoin mining machines become a new driving force to push the physical limitation of semiconductor process technology. Instead of peak performance, mining machines pursue energy and computation efficiency of implementing cryptographic hash functions. Therefore, the state-of-the-art ASIC design of mining machines adopts near-threshold computing, deep pipelines, and uni-directional data flow. According to these design properties, in this paper, we propose a novel clock reversing tree design methodology for bitcoin mining machines. In the clock reversing tree, the clock of global tree is fed from the last pipeline stage backward to the first one, and the clock latency difference between the local clock roots of two consecutive stages maintains a constant delay. The local tree of each stage is well balanced and keeps the same clock latency. The special clock topology naturally utilizes setup time slacks to gain hold time margins. Moreover, to alleviate the incurred on-chip variations due to near-threshold computing, we maximize the common clock path shared by flip-flops of each individual stage. Finally, we perform inverter pair swap to maintain duty cycle. Experimental results show that our methodology is promising for industrial bitcoin mining designs: Compared with two variation-aware clock network synthesis approaches widely used in modern ASIC designs, our approach can reduce up to 64% clock buffer/inverter usage, 12% clock power, decrease 99% hold time violating paths, and achieve 85% area saving for timing fixing. The proposed clock design methodology is general and applicable to blockchain and other ASICs with deep pipelines and strong data flow.

References

[1]
J. Barkatullah and T. Hanke. 2015. Goldstrike 1: CoinTerra's First-Generation Cryptocurrency Mining Processor for Bitcoin. IEEE Micro 35, 2 (2015), 68--76. https://doi.org/10.1109/MM.2015.13
[2]
M. Bedford Taylor. 2013. Bitcoin and the age of Bespoke Silicon. In Proceedings of 2013 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES). 1--10. https://doi.org/10.1109/CASES.2013.6662520
[3]
Cadence, Inc. [n.d.]. Innovus. https://www.cadence.com/
[4]
R. Ewetz. 2017. A Clock Tree Optimization Framework with Predictable Timing Quality. In Proceedings of 54th Design Automation Conference (DAC). Article 72, 6 pages. https://doi.org/10.1145/3061639.3062184
[5]
K. Han, A. B. Kahng, J. Lee, J. Li, and S. Nath. 2015. A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction. In Proceedings of 52nd Design Automation Conference (DAC). Article 26, 6 pages. https://doi.org/10.1145/2744769.2744776
[6]
C. Huang, B. Lin, H. Lee, Y. Chang, K.Wu, and J. Yang. 2017. Graph-based logic bit slicing for datapath-aware placement. In Proceedings of 54th Design Automation Conference (DAC). Article 71, 6 pages. https://doi.org/10.1145/3061639.3062254
[7]
C. Kim. 2020. The Rise of ASICs: A Step-by-Step History of Bitcoin Mining. https://cryptopys.com/2020/04/26/the-rise-of-asics-a-step-by-step-historyof- bitcoin-mining/
[8]
C. Lu and I. H.R. Jiang. 2018. COSAT: Congestion, Obstacle, and Slew Aware Tree Construction for Multiple Power Domain Design. In Proceedings of 55th Design Automation Conference (DAC). Article 47, 6 pages. https://doi.org/10.1145/ 3195970.3196016
[9]
I. Magaki, M. Khazraee, L. Vega Gutierrez, and M. Bedford Taylor. 2016. ASIC Clouds: Specializing the Datacenter. In Proceedings of 43rd International Symposium on Computer Architecture (ISCA). 178--190. https://doi.org/10.1109/ISCA. 2016.25
[10]
A. Steffora Mutschler. 2018. Near-Threshold Issues Deepen. https:// semiengineering.com/near-threshold-issues-widen/
[11]
National Institute of Standards and Technology (NIST). 2002. Announcing the Secure Hash Standard. Federal Information Processing Standards Publication 180--2 (2002).
[12]
A. Rajaram and D. Z. Pan. 2010. MeshWorks: A Comprehensive Framework for Optimized Clock Mesh Network Synthesis. IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems (TCAD) 29, 12 (2010), 1945--1958. https://doi.org/10.1109/TCAD.2010.2061130
[13]
J. Redman. 2018. Cryptocurrencies Are Pushing Semiconductor Innovation and Profits. https://news.bitcoin.com/cryptocurrencies-are-pushing-semiconductorinnovation- and-profits/
[14]
S. Roy, P. M. Mattheakis, L. Masse-Navette, and D. Z. Pan. 2015. Clock Tree Resynthesis for Multi-Corner Multi-Mode Timing Closure. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 34, 4 (2015), 589--602. https://doi.org/
[15]
H. Seo, J. Kim, M. Kang, and T. Kim. 2015. Synthesis for power-aware clock spines. In Proceedings of 2015 International Conference on Computer-Aided Design (ICCAD). 126--131. https://doi.org/10.1109/ICCAD.2015.7372559
[16]
X. Shih and Y. Chang. 2010. Fast timing-model independent buffered clock-tree synthesis. In Proceedings of 47th Design Automation Conference (DAC). 80--85. https://doi.org/10.1145/1837274.1837296
[17]
P. Singer. 2020. Clock Tree Optimization Methodologies for Power and Latency Reduction. https://bitcoinexchangeguide.com/bitcoin-crypto-mining-ischanging- the-semiconductor-manufacturing-industry/
[18]
Staff. 2011. Intel tries near-threshold logic for crypto circuitry. https://www.electronicsweekly.com/low-power-design/circuit-design/inteltries- near-threshold-logic-for-crypto-circuitry-2011-06/
[19]
Synopsys, Inc. [n.d.]. IC Compiler. https://www.synopsys.com/
[20]
M. Bedford Taylor. 2017. The Evolution of Bitcoin Hardware. IEEE Computer Magazine 50 (September 2017), 58--66. https://doi.org/10.1109/MC.2017.3571056
[21]
Bitcoin Exchange Guide News Team. 2018. Bitcoin Crypto Mining is Changing the Semiconductor Manufacturing Industry. https: //bitcoinexchangeguide.com/bitcoin-crypto-mining-is-changing-thesemiconductor- manufacturing-industry/
[22]
N. Uysal and R. Ewetz. 2018. OCV Guided Clock Tree Topology Reconstruction. In Proceedings of 23rd Asia and South Pacific Design Automation Conference (ASPDAC). 494--499. https://doi.org/10.5555/3201607.3201726
[23]
I. Verbauwhede, J. Balasch, S. S. Roy, and A. Van Herrewege. 2015. Circuit challenges from cryptography. In Proceedings of 2015 International Solid-State Circuits Conference (ISSCC). 1--2. https://doi.org/10.1109/ISSCC.2015.7063109
[24]
C.-K.Wang, Y.-C. Chang, H.-M. Chen, and C.-Y. Chin. 2014. Clock Tree Synthesis Considering Slew Effect on Supply Voltage Variation. ACM Transactions on Design Automation of Electronic Systtems (TODAES) 20, 1, Article 3 (Nov. 2014), 23 pages. https://doi.org/10.1145/2651401
[25]
L.-T. Wang, Y.-W. Chang, and K.-T. Cheng. 2009. Electronic Design Automation: Synthesis, Verification, and Test. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA.
[26]
Y. Wang, J. Wu, S. Chen, M. C. Chao, and C. Yang. 2019. Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs. In Proceedings of 2019 International Symposium on VLSI Design, Automation and Test (VLSI-DAT). 1--4. https://doi.org/10.1109/VLSI-DAT.2019.8741726
[27]
H. Xiang, M. Cho, H. Ren, M. Ziegler, and R. Puri. 2013. Network Flow Based Datapath Bit Slicing. In Proceedings of 2013 International Symposium on Physical Design (ISPD). 139--146. https://doi.org/10.1145/2451916.2451954
[28]
Z. Zheng, S. Xie, H. Dai, X. Chen, and H.Wang. 2017. An Overview of Blockchain Technology: Architecture, Consensus, and Future Trends. In Proceedings of 2017 International Congress on Big Data (BigData Congress). 557--564. https://doi.org/ 10.1109/BigDataCongress.2017.85

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISPD '22: Proceedings of the 2022 International Symposium on Physical Design
April 2022
240 pages
ISBN:9781450392105
DOI:10.1145/3505170
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 April 2022

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. ai chips
  2. bitcoin mining machines
  3. blockchain
  4. clock network synthesis
  5. data flow
  6. deep pipelines
  7. near-threshold computing
  8. secure hash algorithms

Qualifiers

  • Research-article

Conference

ISPD '22
Sponsor:
ISPD '22: International Symposium on Physical Design
March 27 - 30, 2022
Virtual Event, Canada

Acceptance Rates

Overall Acceptance Rate 62 of 172 submissions, 36%

Upcoming Conference

ISPD '25
International Symposium on Physical Design
March 16 - 19, 2025
Austin , TX , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 162
    Total Downloads
  • Downloads (Last 12 months)27
  • Downloads (Last 6 weeks)0
Reflects downloads up to 17 Jan 2025

Other Metrics

Citations

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media