skip to main content
10.1145/3505170.3506727acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Design Flow Parameter Optimization with Multi-Phase Positive Nondeterministic Tuning

Authors Info & Claims
Published:13 April 2022Publication History

ABSTRACT

Synthesis and place & route tools are highly leveraged for modern digital design. But, despite continuous improvement in CAD tool performance, products in competitive markets often set PPA (performance, power, area) targets beyond what the tools can natively deliver. These aggressive targets lead to circuit designers attempting to tune a vast number of design flow parameters in search of near-optimal design specific flow recipes. Compounding the complex design flow parameter tuning problem is that many digital design tools exhibit nondeterminism, i.e., run-to-run variation. While CAD tool nondeterminism is typically considered an undesirable behavior, this paper proposes design flow tuning methodologies that take advantage of nondeterminism. We propose techniques that employ a combination of running targeted scenarios multiple times to exploit positive deviations nondeterminism can produce and leverage the best observed runs as seeds for multi-phase tuning. We introduce three seed variants for multi-phase tuning that have a spectrum of characteristics, trading off PPA improvement and reduce run-to-run variation. Our experimental analysis using high-performance industrial designs show that the proposed novel techniques outperform an existing state-of-the-art industrial design flow tuning program across all PPA metrics. Furthermore, our proposed approaches reduce run-to-run variation of the best scenarios, leading to a more predictable design flow.

References

  1. Anthony Agnesina, Kyungwook Chang, and Sung Kyu Lim. 2020. VLSI placement parameter optimization using deep reinforcement learning. In International Conference on Computer-Aided Design (ICCAD) .Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Hao Geng, Tinghuan Chen, Qi Sun, and Bei Yu. 2022. Techniques for CAD Tool Parameter Auto-tuning in Physical Synthesis: A Survey. In Asia and South Pacific Design Automation Conference (ASP-DAC) .Google ScholarGoogle Scholar
  3. Abdelrahman Hosny, Soheil Hashemi, Mohamed Shalan, and Sherief Reda. 2020. DRiLLS: Deep reinforcement learning for logic synthesis. In Asia and South Pacific Design Automation Conference (ASP-DAC) .Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Chau-Chin Huang, Gustavo Tellez, Gi-Joon Nam, and Yao-Wen Chang. 2020. Latch Clustering for Timing-Power Co-Optimization. In Design Automation Conference (DAC) .Google ScholarGoogle Scholar
  5. Kwangok Jeong and Andrew B. Kahng. 2010. Methodology from chaos in IC implementation. In International Symposium on Quality Electronic Design (ISQED) .Google ScholarGoogle Scholar
  6. A.B. Kahng and S. Mantik. 2002. Measurement of inherent noise in EDA tools. In Proceedings International Symposium on Quality Electronic Design (ISQED) .Google ScholarGoogle Scholar
  7. Nachiket Kapre et almbox. 2015. Driving timing convergence of FPGA designs through machine learning and cloud computing. In International Symposium on Field-Programmable Custom Computing Machines (FCCM) .Google ScholarGoogle Scholar
  8. Jihye Kwon, Matthew M. Ziegler, and Luca P. Carloni. 2019. A learning-based recommender system for autotuning design fiows of industrial high-performance processors. In Design Automation Conference (DAC) .Google ScholarGoogle Scholar
  9. Yuzhe Ma, Ziyang Yu, and Bei Yu. 2019. CAD Tool Design Space Exploration via Bayesian Optimization. In Workshop on Machine Learning for CAD (MLCAD) .Google ScholarGoogle ScholarCross RefCross Ref
  10. Ecenur Ustun et almbox. 2019. LAMDA: Learning-Assisted Multi-stage Autotuning for FPGA Design Closure. In International Symposium on Field-Programmable Custom Computing Machines (FCCM) .Google ScholarGoogle Scholar
  11. Yaoguang Wei et almbox. 2012. GLARE: Global and local wiring aware routability evaluation. In DAC Design Automation Conference (DAC) .Google ScholarGoogle Scholar
  12. Zhiyao Xie et almbox. 2020. FIST: A feature-importance sampling and tree-based method for automatic design flow parameter tuning. In Asia and South Pacific Design Automation Conference (ASP-DAC) .Google ScholarGoogle Scholar
  13. Cunxi Yu, Houping Xiao, and Giovanni De Micheli. 2018. Developing Synthesis Flows without Human Knowledge. In Design Automation Conference (DAC) .Google ScholarGoogle Scholar
  14. Shuhan Zhang, Fan Yang, Dian Zhou, and Xuan Zeng. 2020. An Efficient Asynchronous Batch Bayesian Optimization Approach for Analog Circuit Synthesis. In Design Automation Conference (DAC) .Google ScholarGoogle Scholar
  15. Matthew M. Ziegler, Jihye Kwon, Hung-Yi Liu, and Luca P. Carloni. 2021. Online and Offline Machine Learning for Industrial Design Flow Tuning. In International Conference on Computer-Aided Design (ICCAD) .Google ScholarGoogle Scholar
  16. Matthew M. Ziegler, Hung-Yi Liu, and Luca P. Carloni. 2016a. Scalable auto-tuning of synthesis parameters for optimizing high-performance processors. In International Symposium on Low Power Electronics and Design (ISPLED) .Google ScholarGoogle Scholar
  17. Matthew M. Ziegler, Hung-Yi Liu, George Gristede, Bruce Owens, Ricardo Nigaglioni, and Luca P. Carloni. 2016b. A synthesis-parameter tuning system for autonomous design-space exploration. In Design, Automation & Test in Europe Conference & Exhibition (DATE) .Google ScholarGoogle Scholar

Index Terms

  1. Design Flow Parameter Optimization with Multi-Phase Positive Nondeterministic Tuning

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '22: Proceedings of the 2022 International Symposium on Physical Design
      April 2022
      240 pages
      ISBN:9781450392105
      DOI:10.1145/3505170

      Copyright © 2022 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 April 2022

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader