skip to main content
research-article

The Future of FPGA Acceleration in Datacenters and the Cloud

Authors Info & Claims
Published:04 February 2022Publication History
Skip Abstract Section

Abstract

In this article, we survey existing academic and commercial efforts to provide Field-Programmable Gate Array (FPGA) acceleration in datacenters and the cloud. The goal is a critical review of existing systems and a discussion of their evolution from single workstations with PCI-attached FPGAs in the early days of reconfigurable computing to the integration of FPGA farms in large-scale computing infrastructures. From the lessons learned, we discuss the future of FPGAs in datacenters and the cloud and assess the challenges likely to be encountered along the way. The article explores current architectures and discusses scalability and abstractions supported by operating systems, middleware, and virtualization. Hardware and software security becomes critical when infrastructure is shared among tenants with disparate backgrounds. We review the vulnerabilities of current systems and possible attack scenarios and discuss mitigation strategies, some of which impact FPGA architecture and technology. The viability of these architectures for popular applications is reviewed, with a particular focus on deep learning and scientific computing. This work draws from workshop discussions, panel sessions including the participation of experts in the reconfigurable computing field, and private discussions among these experts. These interactions have harmonized the terminology, taxonomy, and the important topics covered in this manuscript.

REFERENCES

  1. [1] Abdelfattah Mohamed S., Han David, Bitar Andrew, DiCecco Roberto, O’Connell Shane, Shanker Nitika, Chu Joseph, Prins Ian, Fender Joshua, Ling Andrew C., et al. 2018. DLA: Compiler and FPGA overlay for neural network inference acceleration. In 28th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 4114117.Google ScholarGoogle ScholarCross RefCross Ref
  2. [2] Abdelsalam A., Clad F., Filsfils C., Salsano S., Siracusano G., and Veltri L.. 2017. Implementation of virtual network function chaining through segment routing in a Linux-based NFV infrastructure. In IEEE Conference on Network Softwarization (NetSoft). 15. DOI: https://doi.org/10.1109/NETSOFT.2017.8004208Google ScholarGoogle ScholarCross RefCross Ref
  3. [3] Abel Francois, Weerasinghe Jagath, Hagleitner Christoph, Weiss Beat, and Paredes Stephan. 2017. An FPGA platform for hyperscalers. In IEEE 25th Annual Symposium on High-Performance Interconnects. 2932. DOI: https://doi.org/10.1109/HOTI.2017.13Google ScholarGoogle Scholar
  4. [4] Al-Aghbari Amran A. and Elrabaa Muhammad E. S.. 2019. Cloud-based FPGA custom computing machines for streaming applications. IEEE Access 7 (2019), 3800938019.Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Alam Md Mahbub, Tajik Shahin, Ganji Fatemeh, Tehranipoor Mark, and Forte Domenic. 2019. RAM-Jam: Remote temperature and voltage fault attack on FPGAs using memory collisions. In Workshop on Fault Diagnosis and Tolerance in Cryptography. 4855.Google ScholarGoogle Scholar
  6. [6] Alonso Gustavo, Roscoe Timothy, Cock David, Owaida Muhsen, Kara Kaan, Korolija Dario, Wang Zeke, et al. 2020. Tackling hardware/software co-design from a database perspective. In 6th Biennial Conference on Innovative Data Systems Research (CIDR).Google ScholarGoogle Scholar
  7. [7] Inc. Amazon.com,2021. Amazon EC2 F1 Instances. Retrieved from https://aws.amazon.com/ec2/instance-types/f1/.Google ScholarGoogle Scholar
  8. [8] Amerson R., Carter R. J., Culbertson W. B., Kuekes P., and Snider G.. 1995. Teramac-configurable custom computing. In IEEE Symposium on FPGAs for Custom Computing Machines. 3238. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. [9] ARM. 2010. AMBA 4 AXI4-Stream Protocol Specification. Technical Report. ARM.Google ScholarGoogle Scholar
  10. [10] ARM. 2011. AMBA AXI and ACE Protocol Specification. Technical Report. ARM.Google ScholarGoogle Scholar
  11. [11] Asiatici Mikhail, George Nithin, Vipin Kizheppatt, Fahmy Suhaib A., and Ienne Paolo. 2017. Virtualized execution runtime for FPGA accelerators in the cloud. IEEE Access 5 (2017), 19001910.Google ScholarGoogle ScholarCross RefCross Ref
  12. [12] Awduche Daniel O.. 1999. MPLS and traffic engineering in IP networks. IEEE Commun. Mag. 37, 12 (1999), 4247. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. [13] Ballani Hitesh, Costa Paolo, Karagiannis Thomas, and Rowstron Ant. 2011. Towards predictable datacenter networks. In ACM SIGCOMM Conference (SIGCOMM’11). Association for Computing Machinery, New York, NY, 242253. DOI: https://doi.org/10.1145/2018436.2018465Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. [14] Baxter R., Booth S., Bull M., Cawood G., Perry J., Parsons M., Simpson A., Trew A., McCormick A., Smart G., Smart R., Cantle A., Chamberlain R., and Genest G.. 2007. Maxwell – A 64 FPGA supercomputer. In 2nd NASA/ESA Conference on Adaptive Hardware and Systems (AHS’07). 287294. DOI: https://doi.org/10.1109/AHS.2007.71 Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15] Beckhoff Christian, Koch Dirk, and Torresen Jim. 2010. Short-circuits on FPGAs caused by partial runtime reconfiguration. In International Conference on Field Programmable Logic and Applications. 596601. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. [16] Bernstein D.. 2014. Containers and cloud: From LXC to Docker to Kubernetes. IEEE Cloud Comput. 1, 3 (2014), 8184.Google ScholarGoogle ScholarCross RefCross Ref
  17. [17] Biookaghazadeh Saman, Ravi Pravin Kumar, and Zhao Ming. 2021. Toward multi-FPGA acceleration of the neural networks. ACM J. Emerg. Technol. Comput. Syst. 17, 2 (2021), 123. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. [18] Blott Michaela, Karras Kimon, Liu Ling, Vissers Kees, Bär Jeremia, and István Zsolt. 2013. Achieving 10Gbps line-rate key-value stores with FPGAs. In 5th USENIX Workshop on Hot Topics in Cloud Computing (HotCloud’13). USENIX Association, San Jose, CA. Retrieved from https://www.usenix.org/conference/hotcloud13/workshop-program/presentations/blott.Google ScholarGoogle Scholar
  19. [19] Blott Michaela, Liu Ling, Karras Kimon, and Vissers Kees. 2015. Scaling out to a single-node 80gbps memcached server with 40terabytes of memory. In 7th USENIX Workshop on Hot Topics in Storage and File Systems (HotStorage’15). USENIX Association, Santa Clara, CA. Retrieved from https://www.usenix.org/conference/hotstorage15/workshop-program/presentation/blott. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. [20] Blott Michaela, Preußer Thomas B., Fraser Nicholas J., Gambardella Giulio, O’Brien Kenneth, Umuroglu Yaman, Leeser Miriam, and Vissers Kees. 2018. FINN-R: An end-to-end deep-learning framework for fast exploration of quantized neural networks. ACM Trans. Reconfig. Technol. Syst. 11, 3 (2018), 123. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. [21] Bobda Christophe. 2007. Introduction to Reconfigurable Computing: Architectures, Algorithms, and Applications (1st ed.). Springer Publishing Company, Incorporated. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. [22] Bobda C., Majer A., Ahmadinia A., Haller T., Linarth A., and Teich J.. 2005. The Erlangen slot machine: Increasing flexibility in FPGA-based reconfigurable platforms. In IEEE International Conference on Field-Programmable Technology.3742. DOI: https://doi.org/10.1109/FPT.2005.1568522 Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. [23] Boulé Marc and Zilic Zeljko. 2008. Automata-based assertion-checker synthesis of PSL properties. ACM Trans. Des. Autom. Electron. Syst. 13, 1 (Feb. 2008). DOI: https://doi.org/10.1145/1297666.1297670 Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. [24] Boutros Andrew, Nurvitadhi Eriko, Ma Rui, Gribok Sergey, Zhao Zhipeng, Hoe James C., Betz Vaughn, and Langhammer Martin. 2020. Beyond peak performance: Comparing the real performance of AI-Optimized FPGAs and GPUs. In International Conference on Field-Programmable Technology (ICFPT). 1019. DOI: https://doi.org/10.1109/ICFPT51103.2020.00011Google ScholarGoogle ScholarCross RefCross Ref
  25. [25] Brant Alexander and Lemieux Guy G. F.. 2012. ZUMA: An open FPGA overlay architecture. In IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). IEEE, 9396. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. [26] Byma Stuart, Steffan J. Gregory, Bannazadeh Hadi, Garcia Alberto Leon, and Chow Paul. 2014. FPGAs in the cloud: Booting virtualized hardware accelerators with openstack. In IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines. IEEE, 109116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. [27] Caulfield A. M., Chung E. S., Putnam A., Angepat H., Fowers Jeremy, Haselman Michael, Heil Stephen, Humphrey Matt, Kaur Puneet, Kim Joo-Young, Lo Daniel, Massengill Todd, Ovtcharov Kalin, Papamichael Michael, Woods Lisa, Lanka Sitaram, Chiou Derek, and Burger Doug. 2016. A cloud-scale acceleration architecture. In 49th IEEE/ACM International Symposium on Microarchitecture. 113. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. [28] Chalamalasetti Sai Rahul, Lim Kevin, Wright Mitch, Young Alvin Au, Ranganathan Parthasarathy, and Margala Martin. 2013. An FPGA memcached appliance. In ACM/SIGDA International Symposium on Field Programmable Gate Arrays. 245254. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. [29] Chen Fei, Shan Yi, Zhang Yu, Wang Yu, Franke Hubertus, Chang Xiaotao, and Wang Kun. 2014. Enabling FPGAs in the cloud. In 11th ACM Conference on Computing Frontiers. ACM, 3. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. [30] Chen Xinyu, Tan Hongshi, Chen Yao, He Bingsheng, Wong Weng-Fai, and Chen Deming. 2021. ThunderGP: HLS-based graph processing framework on FPGAs. In ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. 6980. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. [31] Chen Yu-Ting, Cong Jason, Fang Zhenman, Lei Jie, and Wei Peng. 2016. When Spark meets FPGAs: A case study for next-generation DNA sequencing acceleration. In 8th USENIX Workshop on Hot Topics in Cloud Computing (HotCloud’16). Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. [32] Choi Yuk-Ming and So Hayden Kwok-Hay. 2014. Map-Reduce processing of k-means algorithm with FPGA-accelerated computer cluster. In IEEE 25th International Conference on Application-Specific Systems, Architectures and Processors. 916.Google ScholarGoogle ScholarCross RefCross Ref
  33. [33] Eric Chung, Jeremy Fowers, Kalin Ovtcharov, Michael Papamichael, Adrian Caulfield, Todd Massengill, Ming Liu, Daniel Lo, Shlomi Alkalay, and Michael Haselman. 2018. Serving DNNs in real time at datacenter scale with project brainwave. IEEE Micro 38, 2 (2018), 820.Google ScholarGoogle ScholarCross RefCross Ref
  34. [34] Cimpano Catalin. 2020. Vast majority of cyber-attacks on cloud servers aim to mine cryptocurrency. Retrieved from https://www.zdnet.com/article/vast-majority-of-cyber-attacks-on-cloud-servers-aim-to-mine-cryptocurrency/.Google ScholarGoogle Scholar
  35. [35] Conger Chris, Troxel Ian, Espinoza D., Aggarwal Vikas, and George A.. 2005. NARC: Network attached reconfigurable computing for high performance, network based applications. In 8th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD’05).Google ScholarGoogle Scholar
  36. [36] Dai Guohao, Shan Yi, Chen Fei, Wang Yu, Wang Kun, and Yang Huazhong. 2014. Online scheduling for FPGA computation in the cloud. In International Conference on Field-Programmable Technology (FPT). IEEE, 330333.Google ScholarGoogle ScholarCross RefCross Ref
  37. [37] Matteis Tiziano De, Licht Johannes de Fine, Beránek Jakub, and Hoefler Torsten. 2019. Streaming message interface: High-performance distributed memory programming on reconfigurable hardware. In International Conference for High Performance Computing, Networking, Storage and Analysis. 133. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. [38] Duarte Javier, Harris Philip, Hauck Scott, Holzman Burt, Hsu Shih-Chieh, Jindariani Sergo, Khan Suffian, Kreis Benjamin, Lee Brian, Liu Mia, et al. 2019. FPGA-accelerated machine learning inference as a service for particle physics computing. Comput. Softw. Big Sci. 3, 1 (2019), 115.Google ScholarGoogle ScholarCross RefCross Ref
  39. [39] Dutta Sankha Baran, Naghibijouybari Hoda, Abu-Ghazaleh Nael, Marquez Andres, and Barker Kevin. 2021. Leaky buddies: Cross-component covert channels on integrated CPU-GPU systems. In ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). IEEE, 972984. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. [40] Eguro Ken and Venkatesan Ramarathnam. 2012. FPGAs for trusted cloud computing. In 22nd International Conference on Field Programmable Logic and Applications (FPL). 6370.Google ScholarGoogle ScholarCross RefCross Ref
  41. [41] Ender Maik, Moradi Amir, and Paar Christof. 2020. The unpatchable silicon: A full break of the bitstream encryption of Xilinx 7-series FPGAs. In 29th USENIX Security Symposium.Google ScholarGoogle Scholar
  42. [42] Eran Haggai, Zeno Lior, Tork Maroun, Malka Gabi, and Silberstein Mark. 2019. NICA: An infrastructure for inline acceleration of network applications. In USENIX Annual Technical Conference (USENIX ATC 19). 345362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. [43] Ernst Dieter. 2020. Competing in Artificial Intelligence Chips: China’s Challenge Amid Technology War. Centre for International Governance Innovation, Special Report.Google ScholarGoogle Scholar
  44. [44] Eskandari Nariman, Tarafdar Naif, Ly-Ma Daniel, and Chow Paul. 2019. A modular heterogeneous stack for deploying FPGAs and CPUs in the data center. In ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’19). ACM, New York, NY, 262271. DOI: https://doi.org/10.1145/3289602.3293909 Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. [45] Fahmy Suhaib A., Vipin Kizheppatt, and Shreejith Shanker. 2015. Virtualized FPGA accelerators for efficient cloud computing. In IEEE 7th International Conference on Cloud Computing Technology and Science (CloudCom). IEEE, 430435. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. [46] Fang Xin, Ioannidis Stratis, and Leeser Miriam. 2017. Secure function evaluation using an FPGA overlay architecture. In ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. 257266. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. [47] Firestone Daniel, Putnam Andrew, Mundkur Sambhrama, Chiou Derek, Dabagh Alireza, Andrewartha Mike, Angepat Hari, Bhanu Vivek, Caulfield Adrian, Chung Eric et al. 2018. Azure accelerated networking: SmartNICs in the public cloud. In 15th USENIX Symposium on Networked Systems Design and Implementation (NSDI 18). 5166. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. [48] Forbes. 2018. Xilinx FPGAs: The Chip Behind Alibaba’s Singles Day. Retrieved from https://www.forbes.com/sites/moorinsights/2018/11/29/xilinx-fpgas-the-chip-behind-alibabas-singles-day/?sh=5f2294e27e3b.Google ScholarGoogle Scholar
  49. [49] Fowers Jeremy, Ovtcharov Kalin, Papamichael Michael, Massengill Todd, Liu Ming, Lo Daniel, Alkalay Shlomi, Haselman Michael, Adams Logan, Ghandi Mahdi, Heil Stephen, Patel Prerak, Sapek Adam, Weisz Gabriel, Woods Lisa, Lanka Sitaram, Reinhardt Steven K., Caulfield Adrian M., Chung Eric S., and Burger Doug. 2018. A configurable cloud-scale DNN processor for real-time AI. In ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). 114. DOI: https://doi.org/10.1109/ISCA.2018.00012 Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. [50] Freund Karl. 2017. Google Cloud TPU: Strategic Implications for Google, NVIDIA and the Machine Learning Industry. Retrieved from https://www.forbes.com/sites/moorinsights/2017/05/22/google-cloud-tpu-strategic-implications-for-google-nvidia-and-the-machine-learning-industry/?sh=69d2f5a13af7.Google ScholarGoogle Scholar
  51. [51] Fujita Norihisa, Kobayashi Ryohei, Yamaguchi Yoshiki, and Boku Taisuke. 2019. Parallel processing on FPGA combining computation and communication in OpenCL programming. In IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW). IEEE, 479488.Google ScholarGoogle Scholar
  52. [52] Fumero Juan, Papadimitriou Michail, Zakkak Foivos S., Xekalaki Maria, Clarkson James, and Kotselidis Christos. 2019. Dynamic application reconfiguration on heterogeneous hardware. In 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments. 165178. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. [53] Gayde William. 2020. How Arm Came to Dominate the Mobile Market and It’s Coming for More, Much More. Retrieved from https://www.techspot.com/article/1989-arm-inside.Google ScholarGoogle Scholar
  54. [54] George Alan, Lam Herman, and Stitt Greg. 2010. Novo-G: At the forefront of scalable reconfigurable supercomputing. Comput. Sci. Eng. 13, 1 (2010), 8286. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. [55] George A. D., Herbordt M. C., Lam H., Lawande A. G., Sheng J., and Yang C.. 2016. Novo-G#: Large-scale reconfigurable computing with direct and programmable interconnects. In IEEE High Performance Extreme Computing Conference (HPEC). 17. DOI: https://doi.org/10.1109/HPEC.2016.7761639Google ScholarGoogle ScholarCross RefCross Ref
  56. [56] Ghasemi Ehsan and Chow Paul. 2016. Accelerating Apache Spark big data analysis with FPGAs. In International IEEE Conferences on Ubiquitous Intelligence Computing, Advanced and Trusted Computing, Scalable Computing and Communications, Cloud and Big Data Computing, Internet of People, and Smart World Congress (UIC/ATC/ScalCom/CBDCom/IoP/SmartWorld). 737744. DOI: https://doi.org/10.1109/UIC-ATC-ScalCom-CBDCom-IoP-SmartWorld.2016.0119Google ScholarGoogle Scholar
  57. [57] Ghasemi Ehsan and Chow Paul. 2019. Accelerating Apache Spark with FPGAs. Concurr. Comput.: Pract. Exper. 31, 2 (2019), e4222. DOI: https://doi.org/10.1002/cpe.4222arXiv:https://onlinelibrary.wiley.com/doi/pdf/10.1002/cpe.4222.Google ScholarGoogle ScholarCross RefCross Ref
  58. [58] Giechaskiel Ilias, Rasmussen Kasper, and Szefer Jakub. 2019. Reading between the dies: Cross-SLR covert channels on multi-tenant cloud FPGAs. In IEEE International Conference on Computer Design. 110.Google ScholarGoogle ScholarCross RefCross Ref
  59. [59] Giechaskiel Ilias, Rasmussen Kasper, and Szefer Jakub. 2020. CAPSULe: Cross-FPGA covert-channel attacks through power supply unit leakage. In IEEE Symposium on Security and Privacy. 17281741.Google ScholarGoogle Scholar
  60. [60] Giechaskiel Ilias, Rasmussen Kasper B., and Eguro Ken. 2018. Leaky wires: Information leakage and covert communication between FPGA long wires. In Asia Conference on Computer and Communications Security (ASIACCS). 1527. Google ScholarGoogle ScholarDigital LibraryDigital Library
  61. [61] GitHub AWS. 2020. AFI Power. Retrieved from https://github.com/aws/aws-fpga/blob/master/hdk/docs/afi_power.md.Google ScholarGoogle Scholar
  62. [62] Gnad Dennis R. E., Oboril Fabian, and Tahoori Mehdi B.. 2017. Voltage drop-based fault attacks on FPGAs using valid bitstreams. In International Conference on Field Programmable Logic and Applications (FPL). 17.Google ScholarGoogle ScholarCross RefCross Ref
  63. [63] Hagleitner Christoph, Diamantopoulos Dionysios, Ringlein Burkhard, Evangelinos Constantinos, Johns Charles, Chang Rong N., D’Amora Bruce, Kahle James A., Sexton James, Johnston Michael, Pyzer-Knapp Edward, and Ward Chris. 2021. Heterogeneous computing systems for complex scientific discovery workflows. In Design, Automation Test in Europe Conference Exhibition (DATE). 1318. DOI: https://doi.org/10.23919/DATE51398.2021.9474061Google ScholarGoogle ScholarCross RefCross Ref
  64. [64] Handagala S., Herbordt M., and Leeser M.. 2021. OCT: The open cloud FPGA testbed. In 31st International Conference on Field Programmable Logic and Applications (FPL).Google ScholarGoogle Scholar
  65. [65] Hartenstein Reiner. 2001. Coarse grain reconfigurable architecture (embedded tutorial). In Asia and South Pacific Design Automation Conference. ACM, 564570. Google ScholarGoogle ScholarDigital LibraryDigital Library
  66. [66] Hategekimana Festus, Mbongue Joel Mandebi, Pantho Md Jubaer Hossain, and Bobda Christophe. 2018. Inheriting software security policies within hardware IP components. In IEEE International Symposium on Field-Programmable Custom Computing Machines. 5356. DOI: https://doi.org/10.1109/FCCM.2018.00017Google ScholarGoogle Scholar
  67. [67] Hategekimana Festus, Mbongue Joel Mandebi, Pantho Md Jubaer Hossain, and Bobda Christophe. 2018. Secure hardware kernels execution in CPU+ FPGA heterogeneous cloud. In International Conference on Field-Programmable Technology (FPT). IEEE, 182189.Google ScholarGoogle ScholarCross RefCross Ref
  68. [68] Hemsothd Nicole. 2017. First in-depth look at Google’s new second-generation TPU. Retrieved from https://www.nextplatform.com/2017/05/17/first-depth-look-googles-new-second-generation-tpu/.Google ScholarGoogle Scholar
  69. [69] Horta Edson L., Lockwood John W., Taylor David E., and Parlour David. 2002. Dynamic hardware plugins in an FPGA with partial run-time reconfiguration. In 39th Annual Design Automation Conference (DAC’02). Association for Computing Machinery, New York, NY, 343348. DOI: https://doi.org/10.1145/513918.514007 Google ScholarGoogle ScholarDigital LibraryDigital Library
  70. [70] Huang Kai, Gungor Mehmet, Fang Xin, Ioannidis Stratis, and Leeser Miriam. 2019. Garbled circuits in the cloud using FPGA enabled nodes. In IEEE High Performance Extreme Computing Conference (HPEC). 16.Google ScholarGoogle ScholarCross RefCross Ref
  71. [71] Huffmire Ted, Brotherton Brett, Callegari Nick, Valamehr Jonathan, White Jeff, Kastner Ryan, and Sherwood Tim. 2008. Designing secure systems on reconfigurable hardware. ACM Trans. Des. Autom. Electron. Syst. 13, 3 (July 2008), 44:1–44:24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  72. [72] Hussain Siam U., Rouhani Bita Darvish, Ghasemzadeh Mohammad, and Koushanfar Farinaz. 2018. Maxelerator: FPGA accelerator for privacy preserving multiply-accumulate (MAC) on cloud servers. In 55th Annual Design Automation Conference. 16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  73. [73] István Zsolt, Sidler David, Alonso Gustavo, and Vukolic Marko. 2016. Consensus in a box: Inexpensive coordination in hardware. In 13th USENIX Symposium on Networked Systems Design and Implementation (NSDI’16). 425438. Google ScholarGoogle ScholarDigital LibraryDigital Library
  74. [74] Jin Chenglu, Gohil Vasudev, Karri Ramesh, and Rajendran Jeyavijayan. 2020. Security of cloud FPGAs: A survey. arxiv arXiv:2005.04867 (2020).Google ScholarGoogle Scholar
  75. [75] Jun Sang-Woo, Xu Shuotao, and Arvind. 2017. Terabyte sort on FPGA-accelerated flash storage. In IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 1724. DOI: https://doi.org/10.1109/FCCM.2017.53Google ScholarGoogle Scholar
  76. [76] Kabbani Abdul, Vamanan Balajee, Hasan Jahangir, and Duchene Fabien. 2014. FlowBender: Flow-level adaptive routing for improved latency and throughput in datacenter networks. In 10th ACM International Conference on Emerging Networking Experiments and Technologies (CoNEXT’14). Association for Computing Machinery, New York, NY, 149160. DOI: https://doi.org/10.1145/2674005.2674985 Google ScholarGoogle ScholarDigital LibraryDigital Library
  77. [77] Kapre Nachiket and Gray Jan. 2015. HopLite: Building austere overlay NOCs for FPGAs. In 25th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 18.Google ScholarGoogle ScholarCross RefCross Ref
  78. [78] Khawaja Ahmed, Landgraf Joshua, Prakash Rohith, Wei Michael, Schkufza Eric, and Rossbach Christopher J.. 2018. Sharing, protection, and compatibility for reconfigurable fabric with Amorphos. In 13th USENIX Symposium on Operating Systems Design and Implementation (OSDI’18). 107127. Google ScholarGoogle ScholarDigital LibraryDigital Library
  79. [79] Kim Yoongu, Daly Ross, Kim Jeremie S., Fallin Chris, Lee Jihye, Lee Donghyuk, Wilkerson Chris B., Lai Konrad K., and Mutlu Onur. 2014. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors. In International Symposium on Computer Architecture. 361372. Google ScholarGoogle ScholarDigital LibraryDigital Library
  80. [80] Kobayashi Ryohei, Oobata Yuma, Fujita Norihisa, Yamaguchi Yoshiki, and Boku Taisuke. 2018. OpenCL-ready high speed FPGA network for reconfigurable high performance computing. In International Conference on High Performance Computing in Asia-Pacific Region. 192201. Google ScholarGoogle ScholarDigital LibraryDigital Library
  81. [81] Kocher Paul, Horn Jann, Fogh Anders, Genkin Daniel, Gruss Daniel, Haas Werner, Hamburg Mike, Lipp Moritz, Mangard Stefan, Prescher Thomas, Schwarz Michael, and Yarom Yuval. 2019. Spectre attacks: Exploiting speculative execution. In 40th IEEE Symposium on Security and Privacy (S&P’19).Google ScholarGoogle Scholar
  82. [82] Korolija Dario, Roscoe Timothy, and Alonso Gustavo. 2020. Do OS abstractions make sense on FPGAs? In 14th USENIX Symposium on Operating Systems Design and Implementation (OSDI’20). 9911010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  83. [83] Saha S. Kumar and Bobda C.. 2020. FPGA accelerated embedded system security through hardware isolation. In Asian Hardware Oriented Security and Trust Symposium (AsianHOST). 16. DOI: https://doi.org/10.1109/AsianHOST51057.2020.9358258Google ScholarGoogle Scholar
  84. [84] Landgraf Joshua, Yang Tiffany, Lin Will, Rossbach Christopher J., and Schkufza Eric. 2021. Compiler-driven FPGA virtualization with SYNERGY. In 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 818831. Google ScholarGoogle ScholarDigital LibraryDigital Library
  85. [85] Li Xiangwei, Jain Abhishek, Maskell Douglas, and Fahmy Suhaib A.. 2016. An area-efficient FPGA overlay using DSP block based time-multiplexed functional units. arXiv preprint arXiv:1606.06460 (2016).Google ScholarGoogle Scholar
  86. [86] Lin Thomas, Park Byungchul, Bannazadeh Hadi, and Leon-Garcia Alberto. 2015. Savi testbed architecture and federation. In Future Access Enablers of Ubiquitous and Intelligent Infrastructures. Springer, 310.Google ScholarGoogle Scholar
  87. [87] Lipp Moritz, Schwarz Michael, Gruss Daniel, Prescher Thomas, Haas Werner, Fogh Anders, Horn Jann, Mangard Stefan, Kocher Paul, Genkin Daniel, Yarom Yuval, and Hamburg Mike. 2018. Meltdown: Reading kernel memory from user space. In 27th USENIX Security Symposium (USENIX Security’18). Google ScholarGoogle ScholarDigital LibraryDigital Library
  88. [88] Loscocco Peter and Smalley Stephen. 2001. Meeting critical security objectives with security-enhanced Linux. In Ottawa Linux Symposium. 115134.Google ScholarGoogle Scholar
  89. [89] Layong Larry Luo and T. E. G. Tencent. 2018. In Towards Converged SmartNIC Architecture for Bare Metal and Public Clouds at Tencent Scale 2nd Asia-Pacific Workshop on Networking (APNet 2018) August 2-3 2018, Beijing, China.Google ScholarGoogle Scholar
  90. [90] Ma Jiacheng, Zuo Gefei, Loughlin Kevin, Cheng Xiaohe, Liu Yanqiang, Eneyew Abel Mulugeta, Qi Zhengwei, and Kasikci Baris. 2020. A hypervisor for shared-memory FPGA platforms. In 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 827844. Google ScholarGoogle ScholarDigital LibraryDigital Library
  91. [91] Ma M. and Wong V. W. S.. 2019. An optimal peak hour content server cache update scheduling algorithm for 5G hetnets. In IEEE International Conference on Communications (ICC). 16. DOI: https://doi.org/10.1109/ICC.2019.8761705Google ScholarGoogle ScholarCross RefCross Ref
  92. [92] Ma Sen, Aklah Zeyad, and Andrews David. 2015. A run time interpretation approach for creating custom accelerators. In 25th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  93. [93] Mahajan Divya, Kim Joon Kyung, Sacks Jacob, Ardalan Adel, Kumar Arun, and Esmaeilzadeh Hadi. 2018. In-RDBMS hardware acceleration of advanced analytics. Proc. VLDB Endow. 11, 11 (July 2018), 13171331. Google ScholarGoogle ScholarDigital LibraryDigital Library
  94. [94] Mahalingam Mallik, Dutt Dinesh G., Duda Kenneth, Agarwal Puneet, Kreeger Lawrence, Sridhar T., Bursell Mike, and Wright Chris. 2014. Virtual eXtensible local area network (VXLAN): A framework for overlaying virtualized layer 2 networks over layer 3 networks. RFC 7348 (2014), 122.Google ScholarGoogle Scholar
  95. [95] Maidee Pongstorn, Kaviani Alireza, and Zeng Kevin. 2017. LinkBlaze: Efficient global data movement for FPGAs. In International Conference on ReConFigurable Computing and FPGAs (ReConFig). IEEE, 18.Google ScholarGoogle ScholarCross RefCross Ref
  96. [96] Mbongue Joel Mandebi, Saha Sujan Kumar, and Bobda Christophe. 2021. Domain isolation in FPGA-accelerated cloud and data center applications. In Great Lakes Symposium on VLSI. 283288. Google ScholarGoogle ScholarDigital LibraryDigital Library
  97. [97] Mbongue Joel Mandebi, Kwadjo Danielle Tchuinkou, and Bobda Christophe. 2018. FLexiTASK: A flexible FPGA overlay for efficient multitasking. In Great Lakes Symposium on VLSI. ACM, 483486. Google ScholarGoogle ScholarDigital LibraryDigital Library
  98. [98] Mbongue Joel, Hategekimana Festus, Kwadjo Danielle Tchuinkou, Andrews David, and Bobda Christophe. 2018. FPGAVirt: A novel virtualization framework for FPGAs in the cloud. In IEEE 11th International Conference on Cloud Computing (CLOUD). IEEE, 862865.Google ScholarGoogle ScholarCross RefCross Ref
  99. [99] Mbongue Joel Mandebi, Kwadjo Danielle Tchuinkou, and Bobda Christophe. 2019. Automatic generation of application-specific FPGA overlays with Rapidwright. In International Conference on Field-Programmable Technology (ICFPT). IEEE, 303306. Google ScholarGoogle ScholarDigital LibraryDigital Library
  100. [100] Mbongue Joel Mandebi, Kwadjo Danielle Tchuinkou, Shuping Alex, and Bobda Christophe. 2021. Deploying multi-tenant FPGAs within Linux-based cloud infrastructure. ACM Trans. Reconfig. Technol. Syst. 15, 2 (2021), 131.Google ScholarGoogle ScholarDigital LibraryDigital Library
  101. [101] Mbongue Joel Mandebi, Saha Sujan Kumar, and Bobda Christophe. 2021. Performance study of multi-tenant cloud FPGAs. In IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW). IEEE, 168171.Google ScholarGoogle Scholar
  102. [102] Mbongue Joel Mandebi, Saha Sujan Kumar, and Bobda Christophe. 2021. A security architecture for domain isolation in multi-tenant cloud FPGAs. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 290295.Google ScholarGoogle Scholar
  103. [103] Mbongue Joel Mandebi, Shuping Alex, Bhowmik Pankaj, and Bobda Christophe. 2020. Architecture support for FPGA multi-tenancy in the cloud. In IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, 125132.Google ScholarGoogle ScholarCross RefCross Ref
  104. [104] Moreau Thierry, Chen Tianqi, Vega Luis, Roesch Jared, Yan Eddie, Zheng Lianmin, Fromm Josh, Jiang Ziheng, Ceze Luis, Guestrin Carlos, and Krishnamurthy Arvind. 2019. A hardware-software blueprint for flexible deep learning specialization. IEEE Micro 39, 5 (2019), 816. DOI: https://doi.org/10.1109/MM.2019.2928962Google ScholarGoogle ScholarCross RefCross Ref
  105. [105] Naous Jad, Erickson David, Covington G. Adam, Appenzeller Guido, and McKeown Nick. 2008. Implementing an OpenFlow switch on the NetFPGA platform. In 4th ACM/IEEE Symposium on Architectures for Networking and Communications Systems. 19. Google ScholarGoogle ScholarDigital LibraryDigital Library
  106. [106] Neiger Gil, Santoni Amy, Leung Felix, Rodgers Dion, and Uhlig Rich. 2006. Intel virtualization technology: Hardware support for efficient processor virtualization. Intel Technol. J. 10, 3 (2006).Google ScholarGoogle ScholarCross RefCross Ref
  107. [107] Ney Jonas, Loroch Dominik, Rybalkin Vladimir, Weber Nico, Krüger Jens, and Wehn Norbert. 2021. HALF: Holistic auto machine learning for FPGAs. In st IEEE International Conference on Field-Programmable Logic and Applications (FPL). DOI: https://doi.org/10.1109/FPL53798.2021.00069Google ScholarGoogle ScholarCross RefCross Ref
  108. [108] Noormohammadpour M. and Raghavendra C. S.. 2018. Datacenter traffic control: Understanding techniques and tradeoffs. IEEE Commun. Surv. Tutor. 20, 2 (2018), 14921525. DOI: https://doi.org/10.1109/COMST.2017.2782753Google ScholarGoogle ScholarCross RefCross Ref
  109. [109] Osanaiye Opeyemi, Choo Kim-Kwang Raymond, and Dlodlo Mqhele. 2016. Distributed denial of service (DDoS) resilience in cloud: Review and conceptual cloud DDoS mitigation framework. J. Netw. Comput. Applic. 67 (May 2016), 147165. Google ScholarGoogle ScholarDigital LibraryDigital Library
  110. [110] Phothilimthana Phitchaya Mangpo, Liu Ming, Kaufmann Antoine, Peter Simon, Bodik Rastislav, and Anderson Thomas. 2018. FLOEM: A programming system for NIC-accelerated network applications. In 13th USENIX Symposium on Operating Systems Design and Implementation (OSDI’18). 663679. Google ScholarGoogle ScholarDigital LibraryDigital Library
  111. [111] Pilato Christian, Bohm Stanislav, Brocheton Fabien, Castrillon Jeronimo, Cevasco Riccardo, Cima Vojtech, Cmar Radim, Diamantopoulos Dionysios, Ferrandi Fabrizio, Martinovic Jan, Palermo Gianluca, Paolino Michele, Parodi Antonio, Pittaluga Lorenzo, Raho Daniel, Regazzoni Francesco, Slaninova Katerina, and Hagleitner Christoph. 2021. EVEREST: A design environment for extreme-scale big data analytics on heterogeneous platforms. In Design, Automation Test in Europe Conference Exhibition (DATE). 13201325. DOI: https://doi.org/10.23919/DATE51398.2021.9473940Google ScholarGoogle ScholarCross RefCross Ref
  112. [112] Plessl Christian. 2018. Bringing FPGAs to HPC production systems and codes. In H2RC’18 Workshop at Supercomputing (SC’18). DOI: https://doi.org/10.13140/RG.2.2.34327.42407Google ScholarGoogle Scholar
  113. [113] Provelengios George, Holcomb Daniel, and Tessier Russell. 2019. Characterizing power distribution attacks in multi-user FPGA environments. In International Conference on Field Programmable Logic and Applications (FPL). 194201.Google ScholarGoogle ScholarCross RefCross Ref
  114. [114] Provelengios George, Holcomb Daniel, and Tessier Russell. 2020. Power wasting circuits for cloud FPGA attacks. In International Conference on Field Programmable Logic and Applications (FPL).Google ScholarGoogle ScholarCross RefCross Ref
  115. [115] Putnam A., Caulfield A. M., Chung E. S., Chiou D., Constantinides K., Demme J., Esmaeilzadeh H., Fowers J., Gopal G. P., Gray J., Haselman M., Hauck S., Heil S., Hormati A., Kim J., Lanka S., Larus J., Peterson E., Pope S., Smith A., Thong J., Xiao P. Y., and Burger D.. 2014. A reconfigurable fabric for accelerating large-scale data center services. In ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 1324. DOI: https://doi.org/10.1109/ISCA.2014.6853195 Google ScholarGoogle ScholarDigital LibraryDigital Library
  116. [116] Rafii Arzhang, Sun Welson, and Chow Paul. 2021. Pharos: A multi-FPGA performance monitor. In 31st International Conference on Field-Programmable Logic and Applications (FPL). 257262. DOI: https://doi.org/10.1109/FPL53798.2021.00048Google ScholarGoogle ScholarCross RefCross Ref
  117. [117] Ramesh Chethan, Patil Shivukumar B., Dhanuskodi Siva Nishok, Provelengios George, Pillement Sébastien, Holcomb Daniel, and Tessier Russell. 2018. FPGA side channel attacks without physical access. In IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 4552.Google ScholarGoogle Scholar
  118. [118] Rasmussen Kasper, Giechaskiel Ilias, and Eguro Ken. 2019. Leakier wires: Exploiting FPGA long wires for covert-and side-channel attacks. ACM Trans. Reconfig. Technol. Syst. 12, 3 (2019), 11:1–11.29. Google ScholarGoogle ScholarDigital LibraryDigital Library
  119. [119] Ray Sandip and Jin Yier. 2015. Security policy enforcement in modern SoC designs. In IEEE/ACM International Conference on Computer-Aided Design. 345350. Google ScholarGoogle ScholarDigital LibraryDigital Library
  120. [120] Riazi M. Sadegh, Laine Kim, Pelton Blake, and Dai Wei. 2020. Heax: An architecture for computing on encrypted data. In 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 12951309. Google ScholarGoogle ScholarDigital LibraryDigital Library
  121. [121] Ringlein B., Abel F., Diamantopoulos D., Weiss B., Hagleitner C., Reichenbach M., and Fey D.. 2021. A case for function-as-a-service with Disaggregated FPGAs. In IEEE 14th International Conference on Cloud Computing (CLOUD’21). 333344. DOI: https://doi.org/10.1109/CLOUD53861.2021.00047Google ScholarGoogle ScholarCross RefCross Ref
  122. [122] Ringlein Burkhard, Abel Francois, Ditter Alexander, Weiss Beat, Hagleitner Christoph, and Fey Dietmar. 2019. System architecture for network-attached FPGAs in the cloud using partial reconfiguration. In 29th International Conference on Field Programmable Logic and Applications (FPL). IEEE, 293300. DOI: https://doi.org/10.1109/FPL.2019.00054Google ScholarGoogle ScholarCross RefCross Ref
  123. [123] Ringlein B., Abel F., Ditter A., Weiss B., Hagleitner C., and Fey D.. 2020. Programming reconfigurable heterogeneous computing clusters using MPI with transpilation. In IEEE/ACM International Workshop on Heterogeneous High-performance Reconfigurable Computing (H2RC). IEEE, 19. DOI: https://doi.org/10.1109/H2RC51942.2020.00006Google ScholarGoogle Scholar
  124. [124] Ringlein B., Abel F., Ditter A., Weiss B., Hagleitner C., and Fey D.. 2020. ZRLMPI: A unified programming model for reconfigurable heterogeneous computing clusters. In IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). IEEE, 220. DOI: https://doi.org/10.1109/FCCM48280.2020.00051Google ScholarGoogle Scholar
  125. [125] Rushby John M.. 1982. Proof of separability a verification technique for a class of security kernels. In International Symposium on Programming. 352367. Google ScholarGoogle ScholarDigital LibraryDigital Library
  126. [126] Salamat Sahand, Aboutalebi Armin Haj, Khaleghi Behnam, Lee Joo Hwan, Ki Yang Seok, and Rosing Tajana. 2021. NASCENT: Near-storage acceleration of database sort on SmartSSD. In ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’21). 262272. Google ScholarGoogle ScholarDigital LibraryDigital Library
  127. [127] Sapunov Grigory. 2021. Will ASIC Chips Become the Next Big Thing in AI? Retrieved from https://moorinsightsstrategy.com/will-asic-chips-become-the-next-big-thing-in-ai/.Google ScholarGoogle Scholar
  128. [128] Schellenberg Falk, Gnad Dennis R. E., Moradi Amir, and Tahoori Mehdi B.. 2018. An inside job: Remote power analysis attacks on FPGAs. In Design, Automation & Test in Europe Conference & Exhibition (DATE). 11111116.Google ScholarGoogle ScholarCross RefCross Ref
  129. [129] Sefraoui Omar, Aissaoui Mohammed, and Eleuldj Mohsine. 2012. OpenStack: Toward an open-source solution for cloud computing. Int. J. Comput. Applic. 55, 3 (2012), 3842.Google ScholarGoogle ScholarCross RefCross Ref
  130. [130] Sharma Hardik, Park Jongse, Mahajan Divya, Amaro Emmanuel, Kim Joon Kyung, Shao Chenkai, Mishra Asit, and Esmaeilzadeh Hadi. 2016. From high-level deep neural models to FPGAs. In 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 112. Google ScholarGoogle ScholarDigital LibraryDigital Library
  131. [131] Shaw S. B., Kumar C., and Singh A. K.. 2017. Use of time-series based forecasting technique for balancing load and reducing consumption of energy in a cloud data center. In International Conference on Intelligent Computing and Control (I2C2). 16. DOI: https://doi.org/10.1109/I2C2.2017.8321782Google ScholarGoogle ScholarCross RefCross Ref
  132. [132] Smith Jim and Nair Ravi. 2005. Virtual Machines: Versatile Platforms for Systems and Processes. Elsevier. Google ScholarGoogle ScholarDigital LibraryDigital Library
  133. [133] So Hayden Kwok-Hay and Liu Cheng. 2016. FPGA overlays. In FPGAs for Software Programmers. Springer, 285305. Google ScholarGoogle ScholarDigital LibraryDigital Library
  134. [134] Sun Mengshu, Zhao Pu, Gungor Mehmet, Pedram Massoud, Leeser Miriam, and Lin Xue. 2020. 3D CNN acceleration on FPGA using hardware-aware pruning. In 57th ACM/IEEE Design Automation Conference (DAC). 16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  135. [135] Szefer Jakub. 2019. Survey of microarchitectural side and covert channels, attacks, and defenses. J. Hardw. Syst. Secur. 3, 3 (Sept. 2019), 219234.Google ScholarGoogle ScholarCross RefCross Ref
  136. [136] Talbot David. 2009. Vulnerability Seen in Amazon’s Cloud-Computing. Retrieved from https://www. technologyreview.com/2009/10/23/208662/vulnerability-seen-in-amazons-cloud-computing/.Google ScholarGoogle Scholar
  137. [137] Tarafdar Naif and Chow Paul. 2019. libGalapagos: A software environment for prototyping and creating heterogeneous FPGA and CPU applications. In 6th International Workshop on FPGAs for Software Programmers (FSP’19).Google ScholarGoogle Scholar
  138. [138] Tarafdar Naif, Eskandari Nariman, Lin Thomas, and Chow Paul. 2017. Designing for FPGAs in the cloud. IEEE Des. Test 35, 1 (2017), 2329.Google ScholarGoogle ScholarCross RefCross Ref
  139. [139] Tarafdar N., Eskandari N., Sharma V., Lo C., and Chow P.. 2018. Galapagos: A full stack approach to FPGA integration in the cloud. IEEE Micro 38, 06 (Nov. 2018), 1824. DOI: https://doi.org/10.1109/MM.2018.2877290Google ScholarGoogle ScholarCross RefCross Ref
  140. [140] Tarafdar Naif, Lin Thomas, Fukuda Eric, Bannazadeh Hadi, Leon-Garcia Alberto, and Chow Paul. 2017. Enabling flexible network FPGA clusters in a heterogeneous cloud data center. In ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. 237246. Google ScholarGoogle ScholarDigital LibraryDigital Library
  141. [141] Tarafdar Naif, Lin Thomas, Ly-Ma Daniel, Rozhko Daniel, Leon-Garcia Alberto, and Chow Paul. 2019. Building the infrastructure for deploying FPGAs in the cloud. In Hardware Accelerators in Data Centers. Springer, 933.Google ScholarGoogle ScholarCross RefCross Ref
  142. [142] Technologies Impulse Accelerated. 2021. Retrieved from https://web.archive.org/web/20110904033728http://www.impulseaccelerated.com/.Google ScholarGoogle Scholar
  143. [143] community The Apache Software Foundation / TVM. [n.d.]. Quick Start Tutorial for Compiling Deep Learning Models. Retrieved from https://tvm.apache.org/docs/tutorial/relay_quick_start.html.Google ScholarGoogle Scholar
  144. [144] Tian Shanquan, Giechaskiel Ilias, Xiong Wenjie, and Szefer Jakub. 2021. Cloud FPGA cartography using PCIe contention. In IEEE International Symposium on Field-Programmable Custom Computing Machines.Google ScholarGoogle Scholar
  145. [145] Tian Shanquan and Szefer Jakub. 2019. Temporal thermal covert channels in cloud FPGAs. In International Symposium on Field-Programmable Gate Arrays (FPGA). Google ScholarGoogle ScholarDigital LibraryDigital Library
  146. [146] Tian Shanquan, Xiong Wenjie, Giechaskiel Ilias, Rasmussen Kasper, and Szefer Jakub. 2020. Fingerprinting cloud FPGA infrastructures. In International Symposium on Field-Programmable Gate Arrays (FPGA). Google ScholarGoogle ScholarDigital LibraryDigital Library
  147. [147] Turan Furkan, Roy Sujoy Sinha, and Verbauwhede Ingrid. 2020. HEAWS: An accelerator for homomorphic encryption on the Amazon AWS FPGA. IEEE Trans. Comput. 69, 8 (2020), 11851196.Google ScholarGoogle Scholar
  148. [148] Turan Furkan and Verbauwhede Ingrid. 2020. Trust in FPGA-accelerated cloud computing. Comput. Surv. 53, 6 (Dec. 2020), 28:1–28:128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  149. [149] Usmani Mohammad, Keshavarz Shahrzad, Matthews Eric, Shannon Lesley, Tessier Russell, and Holcomb Daniel E.. 2019. Efficient PUF-based key generation in FPGAs using per-device configuration. IEEE Trans. VLSI Syst. 27, 2 (Feb. 2019), 364375.Google ScholarGoogle ScholarCross RefCross Ref
  150. [150] Vega Juan Camilo, Shen Qianfeng Clark, Leon-Garcia Alberto, and Chow Paul. 2019. Introducing ReCPRI: A field re-configurable protocol for backhaul communication in a radio access network. In IFIP/IEEE Symposium on Integrated Network and Service Management (IM). 329336.Google ScholarGoogle Scholar
  151. [151] Vesper Malte, Kocha Dirk, and Phama Khoa. 2017. PCIeHLS: An OpenCL HLS framework. In 4th International Workshop on FPGAs for Software Programmers. VDE, 16.Google ScholarGoogle Scholar
  152. [152] Waidyasooriya Hasitha Muthumala and Hariyama Masanori. 2019. Multi-FPGA accelerator architecture for stencil computation exploiting spacial and temporal scalability. IEEE Access 7 (2019), 5318853201.Google ScholarGoogle ScholarCross RefCross Ref
  153. [153] Walder Herbert and Platzner Marco. 2004. A runtime environment for reconfigurable hardware operating systems. In Field Programmable Logic and Application, Becker Jürgen, Platzner Marco, and Vernalde Serge (Eds.). Springer Berlin, 831835.Google ScholarGoogle Scholar
  154. [154] Wang Tianqi, Geng Tong, Li Ang, Jin Xi, and Herbordt Martin. 2020. FPDeep: Scalable acceleration of CNN training on deeply-pipelined FPGA clusters. IEEE Trans. Comput. 69, 8 (2020), 11431158.Google ScholarGoogle ScholarDigital LibraryDigital Library
  155. [155] Wang X., Niu Y., Liu F., and Xu Z.. 2020. When FPGA meets cloud: A first look at performance. IEEE Trans. Cloud Comput. (2020), 11. DOI: https://doi.org/10.1109/TCC.2020.2992548Google ScholarGoogle Scholar
  156. [156] Watson Greg, McKeown Nick, and Casado Martin. 2006. NetFPGA: A tool for network research and education. In 2nd Workshop on Architectural Research Using FPGA Platforms (WARFP), Vol. 3.Google ScholarGoogle Scholar
  157. [157] Weerasinghe J., Abel F., Hagleitner C., and Herkersdorf A.. 2015. Enabling FPGAs in hyperscale data centers. In IEEE 12th International Conference on Ubiquitous Intelligence and Computing and IEEE 12th International Conference on Autonomic and Trusted Computing and IEEE 15th International Conference on Scalable Computing and Communications and Its Associated Workshops (UIC-ATC-ScalCom). 10781086. DOI: https://doi.org/10.1109/UIC-ATC-ScalCom-CBDCom-IoP.2015.199Google ScholarGoogle Scholar
  158. [158] Weerasinghe J., Abel F., Hagleitner C., and Herkersdorf A.. 2016. Disaggregated FPGAs: Network performance comparison against bare-metal servers, virtual machines and Linux containers. In IEEE International Conference on Cloud Computing Technology and Science (CloudCom). 917. DOI: https://doi.org/10.1109/CloudCom.2016.0018Google ScholarGoogle ScholarCross RefCross Ref
  159. [159] Weerasinghe J., Polig R., Abel F., and Hagleitner C.. 2016. Network-attached FPGAs for data center applications. In International Conference on Field-Programmable Technology (FPT). 3643. DOI: https://doi.org/10.1109/FPT.2016.7929186Google ScholarGoogle ScholarCross RefCross Ref
  160. [160] Wilson David and Stitt Greg. 2019. Seiba: An FPGA overlay-based approach to rapid application development. In International Conference on ReConFigurable Computing and FPGAs (ReConFig). IEEE, 18.Google ScholarGoogle ScholarCross RefCross Ref
  161. [161] Study Xilinx Case. [n.d.]. Xilinx Powers Alibaba Cloud FaaS with AI Acceleration Solution for E-Commerce Business. Retrieved from https://www.xilinx.com/publications/powered-by-xilinx/xilinx-alibaba-case-study.pdf.Google ScholarGoogle Scholar
  162. [162] Xilinx Corporation 2021. Virtex UltraScale+ FPGA Data Sheet: DC and AC Switching Characteristics.Google ScholarGoogle Scholar
  163. [163] Xu Wang. 2018. Hardware acceleration over NFV in China Mobile. OPNFV Plugfest.Google ScholarGoogle Scholar
  164. [164] Yu Xiaoyu, Wang Yuwei, Miao Jie, Wu Ephrem, Zhang Heng, Meng Yu, Zhang Bo, Min Biao, Chen Dewei, and Gao Jianlin. 2019. A data-center FPGA acceleration platform for convolutional neural networks. In 29th International Conference on Field Programmable Logic and Applications (FPL). 151158.Google ScholarGoogle ScholarCross RefCross Ref
  165. [165] Zeitouni Shaza, Dessouky Ghada, and Sadeghi Ahmad-Reza. 2020. SoK: On the security challenges and risks of multi-tenant FPGAs in the cloud. arxiv arXiv:2009.13914 (2020).Google ScholarGoogle Scholar
  166. [166] Zeitouni Shaza, Vliegen Jo, Frassetto Tommaso, Koch Dirk, Sadeghi Ahmad-Reza, and Mentens Nele. 2021. Trusted configuration in cloud FPGAs. In IEEE International Symposium on Field-Programmable Custom Computing Machines.Google ScholarGoogle Scholar
  167. [167] Zhang Jiansong, Xiong Yongqiang, Xu Ningyi, Shu Ran, Li Bojie, Cheng Peng, Chen Guo, and Moscibroda Thomas. 2017. The Feniks FPGA operating system for cloud computing. In 8th Asia-Pacific Workshop on Systems. 17. Google ScholarGoogle ScholarDigital LibraryDigital Library
  168. [168] Zhang Ke, Chang Yisong, Chen Mingyu, Bao Yungang, and Xu Zhiwei. 2019. Computer organization and design course with FPGA cloud. In 50th ACM Technical Symposium on Computer Science Education. ACM, 927933. Google ScholarGoogle ScholarDigital LibraryDigital Library
  169. [169] Zhao Mark and Suh G. Edward. 2018. FPGA-based remote power side-channel attacks. In IEEE Symposium on Security and Privacy (S&P). 229244.Google ScholarGoogle Scholar
  170. [170] Zilberman Noa, Audzevich Yury, Covington G. Adam, and Moore Andrew W.. 2014. NetFPGA SUME: Toward 100 Gbps as research commodity. IEEE Micro 34, 5 (2014), 3241.Google ScholarGoogle ScholarCross RefCross Ref
  171. [171] Zxt Xiantao, Zx Zhengxiao, and Song Justin. 2020. High-density multi-tenant bare-metal cloud with memory expansion SoC and power management. In IEEE Hot Chips 32 Symposium (HCS). 118. DOI: https://doi.org/10.1109/HCS49909.2020.9220447Google ScholarGoogle Scholar

Index Terms

  1. The Future of FPGA Acceleration in Datacenters and the Cloud

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Reconfigurable Technology and Systems
          ACM Transactions on Reconfigurable Technology and Systems  Volume 15, Issue 3
          September 2022
          353 pages
          ISSN:1936-7406
          EISSN:1936-7414
          DOI:10.1145/3508070
          • Editor:
          • Deming Chen
          Issue’s Table of Contents

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 4 February 2022
          • Accepted: 1 December 2021
          • Revised: 1 October 2021
          • Received: 1 July 2021
          Published in trets Volume 15, Issue 3

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Full Text

        View this article in Full Text.

        View Full Text

        HTML Format

        View this article in HTML Format .

        View HTML Format