skip to main content
10.1145/3508352.3549432acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

ReSiPI: A Reconfigurable Silicon-Photonic 2.5D Chiplet Network with PCMs for Energy-Efficient Interposer Communication

Published: 22 December 2022 Publication History

Abstract

2.5D chiplet systems have been proposed to improve the low manufacturing yield of large-scale chips. However, connecting the chiplets through an electronic interposer imposes a high traffic load on the interposer network. Silicon photonics technology has shown great promise towards handling a high volume of traffic with low latency in intra-chip network-on-chip (NoC) fabrics. Although recent advances in silicon photonic devices have extended photonic NoCs to enable high bandwidth communication in 2.5D chiplet systems, such interposer-based photonic networks still suffer from high power consumption. In this work, we design and analyze a novel Reconfigurable power-efficient and congestion-aware Silicon-Photonic 2.5D Interposer network, called ReSiPI. Considering runtime traffic, ReSiPI is able to dynamically deploy inter-chiplet photonic gateways to improve the overall network congestion. ReSiPI also employs switching elements based on phase change materials (PCMs) to dynamically reconfigure and power-gate the photonic interposer network, thereby improving the network power efficiency. Compared to the best prior state-of-the-art 2.5D photonic network, ReSiPI demonstrates, on average, 37% lower latency, 25% power reduction, and 53% energy minimization in the network.

References

[1]
Srikant Bharadwaj, Jieming Yin, Bradford Beckmann, and Tushar Krishna. 2020. Kite: A family of heterogeneous interposer topologies enabled via accurate interconnect modeling. In ACM/IEEE Design Automation Conference (DAC). IEEE, 1--6.
[2]
Christian Bienia. 2011. Benchmarking modern multiprocessors. Princeton University.
[3]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R Hower, Tushar Krishna, Somayeh Sardashti, et al. 2011. The gem5 simulator. ACM SIGARCH computer architecture news 39, 2 (2011), 1--7.
[4]
Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Maurizio Palesi, and Davide Patti. 2016. Cycle-accurate network on chip simulation with noxim. ACM Transactions on Modeling and Computer Simulation (TOMACS) 27, 1 (2016), 1--25.
[5]
Sai Vineel Reddy Chittamuru, Dharanidhar Dang, Sudeep Pasricha, and Rabi Mahapatra. 2018. BiGNoC: Accelerating big data computing with application-specific photonic network-on-chip architectures. IEEE Transactions on Parallel and Distributed Systems 29, 11 (2018), 2402--2415.
[6]
Sai Vineel Reddy Chittamuru, Srinivas Desai, and Sudeep Pasricha. 2017. Swift-noc: a reconfigurable silicon-photonic network with multicast-enabled channel sharing for multicore architectures. ACM Journal on Emerging Technologies in Computing Systems (JETC) 13, 4 (2017), 1--27.
[7]
Mike Davies, Narayan Srinivasa, Tsung-Han Lin, Gautham Chinya, Yongqiang Cao, Sri Harsha Choday, Georgios Dimou, Prasad Joshi, Nabil Imam, Shweta Jain, et al. 2018. Loihi: A neuromorphic manycore processor with on-chip learning. IEEE Micro 38, 1 (2018), 82--99.
[8]
Pouya Fotouhi, Sebastian Werner, Roberto Proietti, Xian Xiao, and SJ Ben Yoo. 2019. Enabling scalable disintegrated computing systems with AWGR-based 2.5 D interconnection networks. Journal of Optical Communications and Networking 11, 7 (2019), 333--346.
[9]
Ajaykumar Kannan, Natalie Enright Jerger, and Gabriel H Loh. 2015. Enabling interposer-based disintegration of multi-core processors. In IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 546--558.
[10]
Kentaro Kato, Masashi Kuwahara, Hitoshi Kawashima, Tohru Tsuruoka, and Hiroyuki Tsuda. 2017. Current-driven phase-change optical gate switch using indium-tin-oxide heater. Applied Physics Express 10, 7 (2017), 072201.
[11]
Pritam Majumder, Sungkeun Kim, Jiayi Huang, Ki Hwan Yum, and Eun Jung Kim. 2020. Remote control: A simple deadlock avoidance scheme for modular systems-on-chip. IEEE Trans. Comput. 70, 11 (2020), 1928--1941.
[12]
Hosam Mekawey, Mohamed Elsayed, Yehea Ismail, and Mohamed A Swillam. 2022. Optical Interconnects Finally Seeing the Light in Silicon Photonics: Past the Hype. Nanomaterials 12, 3 (2022), 485.
[13]
Asif Mirza, Shadi Manafi Avari, Ebadollah Taheri, Sudeep Pasricha, and Mahdi Nikdast. 2020. Opportunities for cross-layer design in high-performance computing systems with integrated silicon photonic networks. In 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1622--1627.
[14]
Asif Mirza, Febin Sunny, Sudeep Pasricha, and Mahdi Nikdast. 2020. Silicon photonic microring resonators: Design optimization under fabrication non-uniformity. In 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 484--489.
[15]
Asif Mirza, Febin Sunny, Peter Walsh, Karim Hassan, Sudeep Pasricha, and Mahdi Nikdast. 2021. Silicon Photonic Microring Resonators: A Comprehensive Design-Space Exploration and Optimization under Fabrication-Process Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2021).
[16]
Aditya Narayan, Yvain Thonnart, Pascal Vivet, and Ayse K Coskun. 2020. PROWAVES: Proactive runtime wavelength selection for energy-efficient photonic NoCs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40, 10 (2020), 2156--2169.
[17]
Aditya Narayan, Yvain Thonnart, Pascal Vivet, César Fuguet Tortolero, and Ayse K Coskun. 2019. WAVES: Wavelength selection for power-efficient 2.5 D-integrated photonic NoCs. In Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 516--521.
[18]
Sudeep Pasricha and Mahdi Nikdast. 2020. A survey of silicon photonics for energy-efficient manycore computing. IEEE Design & Test 37, 4 (2020), 60--81.
[19]
Robert Polster, Yvain Thonnart, Guillaume Waltener, Jose-Luis Gonzalez, and Eric Cassan. 2016. Efficiency optimization of silicon photonic links in 65-nm CMOS and 28-nm FDSOI technology nodes. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 12 (2016), 3450--3459.
[20]
Febin P Sunny, Asif Mirza, Ishan Thakkar, Mahdi Nikdast, and Sudeep Pasricha. 2021. ARXON: A framework for approximate communication over photonic networks-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 29, 6 (2021), 1206--1219.
[21]
Ebadollah Taheri, Ryan G Kim, and Mahdi Nikdast. 2021. AdEle: An adaptive congestion-and-energy-aware elevator selection for partially connected 3D NoCs. In 2021 58th ACM/IEEE Design Automation Conference (DAC). IEEE, 67--72.
[22]
Ebadollah Taheri, Sudeep Pasricha, and Mahdi Nikdast. 2022. DeFT: A Deadlock-Free and Fault-Tolerant Routing Algorithm for 2.5 D Chiplet Networks. In Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1047--1052.
[23]
Ting Yu Teo, Milos Krbal, Jan Mistrik, Jan Prikryl, Li Lu, and Robert Edward Simpson. 2022. Comparison and analysis of phase change materials-based re-configurable silicon photonic directional couplers. Optical Materials Express 12, 2 (2022), 606--621.
[24]
Ishan G Thakkar, Sai Vineel Reddy Chittamuru, and Sudeep Pasricha. 2016. Runtime laser power management in photonic NoCs with on-chip semiconductor optical amplifiers. In International Symposium on Networks-on-Chip (NOCS). IEEE, 1--4.
[25]
Yvain Thonnart, Stéphane Bernabé, Jean Charbonnier, Christian Bernard, David Coriat, César Fuguet, Pierre Tissier, Benoît Charbonnier, Stéphane Malhouitre, Damien Saint-Patrice, et al. 2020. POPSTAR: A robust modular optical NoC architecture for chiplet-based 3D integrated systems. In Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1456--1461.
[26]
Sebastian Werner, Javier Navaridas, and Mikel Luján. 2017. A survey on optical network-on-chip architectures. ACM Computing Surveys (CSUR) 50, 6 (2017), 1--37.
[27]
Matthias Wuttig, Harish Bhaskaran, and Thomas Taubner. 2017. Phase-change materials for non-volatile photonic applications. Nature Photonics 11, 8 (2017), 465--476.
[28]
Peipeng Xu, Jiajiu Zheng, Jonathan K Doylend, and Arka Majumdar. 2019. Low-loss and broadband nonvolatile phase-change directional coupler switches. Acs Photonics 6, 2 (2019), 553--557.
[29]
Jieming Yin, Zhifeng Lin, Onur Kayiran, Matthew Poremba, Muhammad Shoaib Bin Altaf, Natalie Enright Jerger, and Gabriel H Loh. 2018. Modular routing design for chiplet-based systems. In International Symposium on Computer Architecture (ISCA). IEEE, 726--738.
[30]
Jieying Zhang, Jiajiu Zheng, Peipeng Xu, Yanqun Wang, and Arka Majumdar. 2020. Ultra-low-power nonvolatile integrated photonic switches and modulators based on nanogap-enhanced phase-change waveguides. Optics Express 28, 25 (2020), 37265--37275.
[31]
Hao Zheng, Ke Wang, and Ahmed Louri. 2020. A versatile and flexible chiplet-based system design for heterogeneous manycore architectures. In ACM/IEEE Design Automation Conference (DAC). IEEE, 1--6.
[32]
Parya Zolfaghari, Joel Ortiz, Cédric Killian, and Sébastien Le Beux. 2022. Non-Volatile Phase Change Material based Nanophotonic Interconnect. In IEEE/ACM Design, Automation and Test in Europe (DATE). IEEE, 1053--1058.

Cited By

View all
  • (2025)ChipAI: A scalable chiplet-based accelerator for efficient DNN inference using silicon photonicsJournal of Systems Architecture10.1016/j.sysarc.2024.103308158(103308)Online publication date: Jan-2025
  • (2024)COMET: A Cross-Layer Optimized Optical Phase-Change Main Memory Architecture2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546876(1-6)Online publication date: 25-Mar-2024
  • (2024)Silicon Photonic 2.5D Interposer Networks for Overcoming Communication Bottlenecks in Scale-out Machine Learning Hardware Accelerators2024 IEEE 42nd VLSI Test Symposium (VTS)10.1109/VTS60656.2024.10538500(1-4)Online publication date: 22-Apr-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design
October 2022
1467 pages
ISBN:9781450392174
DOI:10.1145/3508352
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE-EDS: Electronic Devices Society
  • IEEE CAS
  • IEEE CEDA

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 22 December 2022

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 2.5D chiplet system
  2. NoC
  3. PCM
  4. interposer
  5. silicon photonics

Qualifiers

  • Research-article

Funding Sources

  • National Science Foundation (NSF)

Conference

ICCAD '22
Sponsor:
ICCAD '22: IEEE/ACM International Conference on Computer-Aided Design
October 30 - November 3, 2022
California, San Diego

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)130
  • Downloads (Last 6 weeks)13
Reflects downloads up to 28 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2025)ChipAI: A scalable chiplet-based accelerator for efficient DNN inference using silicon photonicsJournal of Systems Architecture10.1016/j.sysarc.2024.103308158(103308)Online publication date: Jan-2025
  • (2024)COMET: A Cross-Layer Optimized Optical Phase-Change Main Memory Architecture2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546876(1-6)Online publication date: 25-Mar-2024
  • (2024)Silicon Photonic 2.5D Interposer Networks for Overcoming Communication Bottlenecks in Scale-out Machine Learning Hardware Accelerators2024 IEEE 42nd VLSI Test Symposium (VTS)10.1109/VTS60656.2024.10538500(1-4)Online publication date: 22-Apr-2024
  • (2024)ReD: A Reliable and Deadlock-Free Routing for 2.5-D Chiplet-Based Interposer NetworksIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.339966043:12(4599-4612)Online publication date: 1-Dec-2024
  • (2023)Machine Learning Accelerators in 2.5D Chiplet Platforms with Silicon Photonics2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137317(1-6)Online publication date: Apr-2023
  • (2023)HPPI: A High-Performance Photonic Interconnect Design for Chiplet-Based DNN AcceleratorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332882843:3(812-825)Online publication date: 31-Oct-2023
  • (2023)AdEle+: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D Networks-on-ChipIEEE Transactions on Computers10.1109/TC.2023.324826072:8(2278-2292)Online publication date: 1-Aug-2023
  • (2023)A Method to Reduce the Design Complexity of Nanophotonic Interconnects2023 21st IEEE Interregional NEWCAS Conference (NEWCAS)10.1109/NEWCAS57931.2023.10198144(1-5)Online publication date: 26-Jun-2023
  • (2023)A Survey on Optical Phase-Change Memory: The Promise and ChallengesIEEE Access10.1109/ACCESS.2023.324114611(11781-11803)Online publication date: 2023

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media