skip to main content
10.1145/3508352.3561119acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
invited-talk

Reliable Computing of ReRAM Based Compute-in-Memory Circuits for AI Edge Devices

Published: 22 December 2022 Publication History

Abstract

Compute-in-memory macros based on non-volatile memory (nvCIM) are a promising approach to break through the memory bottleneck for artificial intelligence (AI) edge devices; however, the development of these devices involves unavoidable tradeoffs between reliability, energy efficiency, computing latency, and readout accuracy. This paper outlines the background of ReRAM-based nvCIM as well as the major challenges in its further development, including process variation in ReRAM devices and transistors and the small signal margins associated with variation in input-weight patterns. This paper also investigates the error model of a nvCIM macro, and the correspondent degradation of inference accuracy as a function of error model when using nvCIM macros. Finally, we summarize recent trends and advances in the development of reliable ReRAM-based nvCIM macro.

References

[1]
Hung, JM., Xue, CX., Kao, HY. et al. A four-megabit compute-in-memory macro with eight-bit precision based on CMOS and resistive random-access memory for AI edge devices. Nat Electron 4, 921--930 (2021).
[2]
J. -M. Hung, et al., "An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices," 2022 IEEE International Solid- State Circuits Conference (ISSCC), 2022, pp. 1--3.
[3]
C Chen, WH., Dou, C., Li, KX. et al. CMOS-integrated memristive non-volatile computing-in-memory for AI edge processors. Nat Electron 2, 420--428 (2019).
[4]
Xue, CX., Chiu, YC., Liu, TW. et al. A CMOS-integrated compute-in-memory macro based on resistive random-access memory for AI edge devices. Nat Electron 4, 81--90 (2021).
[5]
C. -X. Xue, et al., "Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based AI Edge Processors," in IEEE Journal of Solid-State Circuits, vol. 55, no. 1, pp. 203--215, Jan. 2020.
[6]
J. -H. Yoon, et al., "A 40nm 64Kb 56.67 TOPS/W Read-Disturb-Tolerant Compute-in-Memory /Digital RRAM Macro with Active-Feedback-Based Read and In-Situ Write Verification," 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021, pp. 404--406.
[7]
Mochida, R. et al. A 4M Synapses integrated Analog ReRAM based 66.5 TOPS/W Neural-Network Processor with Cell Current Controlled Writing and Flexible Network Architecture. IEEE Symposium on VLSI Technology, 175--176 (2018).
[8]
Yao, P. et al. Fully hardware-implemented memristor convolutional neural network. Nature 577, 641--646 (2020).
[9]
Liu, Q. et al. A Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel MAC Computing. IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, 500--501 (2020).
[10]
Cai, F. et al. A Fully Integrated Reprogrammable Memristor-CMOS System for Efficient Multiply-Accumulate Operations. Nature Electronics 2, 290--299 (2019).
[11]
Li, C. et al. Analogue signal and image processing with large memristor crossbars. Nature Electronics 1, 52--59 (2018).
[12]
Wang, Z. et al. Fully memristive neural networks for pattern classification with unsupervised learning. Nature Electronics 1, 137--145 (2018).
[13]
Ambrogio, S. et al. Equivalent-accuracy accelerated neural-network training using analogue memory. Nature 558, 60--67 (2018).
[14]
Dong, Q. et al. A 351TOPS/W and 372.4GOPS Compute-in-Memory SRAM Macro in 7nm FinFET CMOS for Machine-Learning Applications. IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, 242--243 (2020).
[15]
Chang, M.-F. et al. Embedded 1Mb ReRAM in 28nm CMOS with 0.27V to 1V Read Using Swing-Sample-and-Couple Sense Amplifier and Self-Boost-Write-Termination Scheme. IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, 332--334 (2014).
[16]
M. Giordano et al., "CHIMERA: A 0.92 TOPS, 2.2 TOPS/W Edge AI Accelerator with 2 MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference," 2021 Symposium on VLSI Circuits, 2021, pp. 1--2.
[17]
W. -S. Khwa et al., "A 40-nm, 2M-Cell, 8b-Precision, Hybrid SLC-MLC PCM Computing-in-Memory Macro with 20.5 - 65.0TOPS/W for Tiny-Al Edge Devices," 2022 IEEE International Solid- State Circuits Conference (ISSCC), 2022, pp. 1--3.
[18]
J. -W. Su et al., "16.3 A 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for AI Edge Chips," 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021, pp. 250--252.
[19]
W. Wan et al., "33.1 A 74 TMACS/W CMOS-RRAM Neurosynaptic Core with Dynamically Reconfigurable Dataflow and In-situ Transposable Weights for Probabilistic Graphical Models," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), 2020, pp. 498--500.
[20]
Le Gallo, M., Sebastian, A., Mathis, R. et al. Mixed-precision in-memory computing. Nat Electron 1, 246--253 (2018).
[21]
R. Khaddam-Aljameh et al., "HERMES Core - A 14nm CMOS and PCM-based In-Memory Compute Core using an array of 300ps/LSB Linearized CCO-based ADCs and local digital processing," 2021 Symposium on VLSI Technology, 2021, pp. 1--2.
[22]
Y. Liao et al., "Novel In-Memory Matrix-Matrix Multiplication with Resistive Cross-Point Arrays," 2018 IEEE Symposium on VLSI Technology, 2018, pp. 31--32.
[23]
Ielmini, D., Wong, HS.P. In-memory computing with resistive switching devices. Nat Electron 1, 333--343 (2018).
[24]
C. -X. Xue et al., "24.1 A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel MAC Computing Time for CNN Based AI Edge Processors," 2019 IEEE International Solid- State Circuits Conference - (ISSCC), 2019, pp. 388--390.
[25]
C. -X. Xue et al., "15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices," 2020 IEEE International Solid- State Circuits Conference - (ISSCC), 2020, pp. 244--246.
[26]
C. -X. Xue et al., "16.1 A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny AI Edge Devices," 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021, pp. 245--247.
[27]
J. -M. Hung, C. -J. Jhang, P. -C. Wu, Y. -C. Chiu and M. -F. Chang, "Challenges and Trends of Nonvolatile In-Memory-Computation Circuits for AI Edge Devices," in IEEE Open Journal of the Solid-State Circuits Society, vol. 1, pp. 171--183, 2021.
[28]
P. -C. Wu et al., "A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices," 2022 IEEE International Solid- State Circuits Conference (ISSCC), 2022, pp. 1--3.
[29]
C. -J. Jhang, C. -X. Xue, J. -M. Hung, F. -C. Chang and M. -F. Chang, "Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 5, pp. 1773--1786, May 2021.
[30]
J. -M. Hung, X. Li, J. Wu and M. -F. Chang, "Challenges and Trends inDeveloping Nonvolatile Memory-Enabled Computing Chips for Intelligent Edge Devices," in IEEE Transactions on Electron Devices, vol. 67, no. 4, pp. 1444--1453, April 2020.
[31]
C. Xue and M. Chang, "Challenges in Circuit Designs of Nonvolatile-memory based computing-in-memory for AI Edge Devices," 2019 International SoC Design Conference (ISOCC), 2019, pp. 164--165.
[32]
D. Rossi et al., "4.4 A 1.3TOPS/W @ 32GOPS Fully Integrated 10-Core SoC for IoT End-Nodes with 1.7μW Cognitive Wake-Up From MRAM-Based State-Retentive Sleep Mode," 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021, pp. 60--62.
[33]
Y. -C. Chiu et al., "A 22nm 4Mb STT-MRAM Data-Encrypted Near-Memory Computation Macro with a 192GB/s Read-and-Decryption Bandwidth and 25.1-55.1TOPS/W 8b MAC for AI Operations," 2022 IEEE International Solid- State Circuits Conference (ISSCC), 2022, pp. 178--180.
[34]
Y. -C. Chiu et al., "A 22-nm 1-Mb 1024-b Read Data-Protected STT-MRAM Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device," in IEEE Journal of Solid-State Circuits, vol. 57, no. 6, pp. 1936--1949, June 2022.
[35]
Y. -C. Chiu et al., "A 40nm 2Mb ReRAM Macro with 85% Reduction in FORMING Time and 99% Reduction in Page-Write Time Using Auto-FORMING and Auto-Write Schemes," 2019 Symposium on VLSI Technology, 2019, pp. T232--T233.
[36]
C. -C. Chou et al., "A 22nm 96KX144 RRAM Macro with a Self-Tracking Reference and a Low Ripple Charge Pump to Achieve a Configurable Read Window and a Wide Operating Voltage Range," 2020 IEEE Symposium on VLSI Circuits, 2020, pp. 1--2.
[37]
X. Si et al., "Circuit Design Challenges in Computing-in-Memory for AI Edge Devices," 2019 IEEE 13th International Conference on ASIC (ASICON), 2019, pp. 1--4.
[38]
C. -P. Lo et al., "A ReRAM Macro Using Dynamic Trip-Point-Mismatch Sampling Current-Mode Sense Amplifier and Low-DC Voltage-Mode Write-Termination Scheme Against Resistance and Write-Delay Variation," in IEEE Journal of Solid-State Circuits, vol. 54, no. 2, pp. 584--595, Feb. 2019.
[39]
C. Dou et al., "Challenges of emerging memory and memristor based circuits: Nonvolatile logics, IoT security, deep learning and neuromorphic computing," 2017 IEEE 12th International Conference on ASIC (ASICON), 2017, pp. 140--143.
[40]
W. -H. Chen et al., "A 16Mb dual-mode ReRAM macro with sub-14ns computing-in-memory and memory functions enabled by self-write termination scheme," 2017 IEEE International Electron Devices Meeting (IEDM), 2017, pp. 28.2.1--28.2.4.
[41]
A. Lee et al., "A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile Processors," in IEEE Journal of Solid-State Circuits, vol. 52, no. 8, pp. 2194--2207, Aug. 2017.
[42]
W. -H. Chen et al., "Circuit design for beyond von Neumann applications using emerging memory: From nonvolatile logics to neuromorphic computing," 2017 18th International Symposium on Quality Electronic Design (ISQED), 2017, pp. 23--28.
[43]
S. D. Spetalnick et al., "A 40nm 64kb 26.56TOPS/W 2.37Mb/mm2RRAM Binary/Compute-in-Memory Macro with 4.23x Improvement in Density and >75% Use of Sensing Dynamic Range," 2022 IEEE International Solid- State Circuits Conference (ISSCC), 2022, pp. 1--3.
[44]
J. M. Correll et al., "An 8-bit 20.7 TOPS/W Multi-Level Cell ReRAM-based Compute Engine," 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2022, pp. 264--265.
[45]
W. -H. Chen et al., "A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), 2018, pp. 494--496.
[46]
Jung, S., Lee, H., Myung, S. et al. A crossbar array of magnetoresistive memory devices for in-memory computing. Nature 601, 211--216 (2022).
[47]
M. Chang et al., "A 40nm 60.64TOPS/W ECC-Capable Compute-in-Memory/Digital 2.25MB/768KB RRAM/SRAM System with Embedded Cortex M3 Microprocessor for Edge Recommendation Systems," 2022 IEEE International Solid- State Circuits Conference (ISSCC), 2022, pp. 1--3.

Cited By

View all
  • (2024)Comprehensive Analysis of Read Fluctuations in ReRAM CiM by Using Fluctuation Pattern ClassifierIEICE Transactions on Electronics10.1587/transele.2023CTP0002E107.C:10(416-425)Online publication date: 1-Oct-2024

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design
October 2022
1467 pages
ISBN:9781450392174
DOI:10.1145/3508352
Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

Sponsors

In-Cooperation

  • IEEE-EDS: Electronic Devices Society
  • IEEE CAS
  • IEEE CEDA

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 22 December 2022

Check for updates

Author Tags

  1. CNN edge processors
  2. ReRAM
  3. artificial intelligence
  4. computing-in-memory
  5. multiply-and-accumulate

Qualifiers

  • Invited-talk

Conference

ICCAD '22
Sponsor:
ICCAD '22: IEEE/ACM International Conference on Computer-Aided Design
October 30 - November 3, 2022
California, San Diego

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)72
  • Downloads (Last 6 weeks)3
Reflects downloads up to 28 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Comprehensive Analysis of Read Fluctuations in ReRAM CiM by Using Fluctuation Pattern ClassifierIEICE Transactions on Electronics10.1587/transele.2023CTP0002E107.C:10(416-425)Online publication date: 1-Oct-2024

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media