skip to main content
research-article

A Learning-based Methodology for Scenario-aware Mapping of Soft Real-time Applications onto Heterogeneous MPSoCs

Published: 13 December 2022 Publication History

Abstract

Soft real-time streaming applications often process input data that evoke varying workloads for their tasks. This may lead to high energy consumption or deadline misses in case their mapping onto a heterogeneous MPSoC target architecture is not adapted, e.g., when tasks with high execution times for the current input are assigned to resources of low computational power. To handle the vast variety of different input data, we propose to cluster data with similar execution characteristics into so-called data scenarios for which we determine specialized mappings by performing a scenario-aware design space exploration (DSE). A runtime manager (RTM) uses these mappings to adapt the execution of the running applications to their upcoming input by first identifying their best-suited scenarios. Subsequently, the RTM selects mappings considering their identified scenarios, which minimize the total number of deadline misses and the consumed energy. We embed the RTM into hybrid application mapping (HAM); ergo, performing time-consuming optimizations offline. In this article, we propose a novel data-scenario-aware HAM methodology that can cope with multiple applications and comprises two novel scenario-based mapping selection algorithms: Inter-Application Resource Mediation Mapping introduces barely any runtime overhead. Adaptive multi-app mapping selection is highly adaptive to changes in the application workload but imposes a small runtime overhead. Our HAM approach is fully automated and uses machine-learning techniques to learn the selection of suitable mappings from training data sequences at design time. Experiments on three differently complex target architectures show that our proposed approach consistently outperforms existing state-of-the-art solutions regarding the number of deadline misses and consumed energy.

References

[1]
Ali Aalsaud, Rishad Shafik, Ashur Rafiev, Fie Xia, Sheng Yang, and Alex Yakovlev. 2016. Power–aware performance adaptation of concurrent applications in heterogeneous many-core systems. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’16). Association for Computing Machinery, New York, NY, 368–373.
[2]
Athena Abdi and Hamid R. Zarandi. 2018. Hystery: A hybrid scheduling and mapping approach to optimize temperature, energy consumption and lifetime reliability of heterogeneous multiprocessor systems. J. Supercomput. 74, 5 (2018), 2213–2238.
[3]
Wahabou Abdou, Christelle Bloch, Damien Charlet, and Francois Spies2012. Multi-pareto-ranking evolutionary algorithm. In Evolutionary Computation in Combinatorial Optimization. Springer, Berlin, 194–205.
[4]
Karunakar R. Basireddy, Amit Kumar Singh, Bashir M. Al-Hashimi, and Geoff V. Merrett. 2020. AdaMD: Adaptive mapping and DVFS for energy-efficient heterogeneous multicores. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 10 (2020), 2206–2217.
[5]
Leo Breiman. 2001. Random forests. Mach. Learn. 45, 1 (2001), 5–32.
[6]
Eduardo Wenzel Briao et al. 2008. Dynamic task allocation strategies in MPSoC for soft real-time applications. In Proceedings of the Annual Conference on Design, Automation and Test in Europe. IEEE, 1386–1389.
[7]
Matthew Brown and David G. Lowe. 2007. Automatic panoramic image stitching using invariant features. Int. J. Comput. Vis. 74, 1 (2007), 59–73.
[8]
Tilera Corporation. 2012. Tile processor architecture overview for the tile-Gx series. In Tilera Official Documentation.
[9]
Benôit Dupont de Dinechin, Renaud Ayrignac, Pierre-Edouard Beaucamps, Patrice Couvert, Benôit Ganne, Pierre Guironnet de Massas, François Jacquet, Samuel Jones, Nicolas Morey Chaisemartin, Frédéric Riss, and Thierry Strudel. 2013. A clustered manycore processor architecture for embedded and accelerated applications. In Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC’13). 1–6.
[10]
Martin A. Fischler and Robert C. Bolles. 1981. Random sample consensus: A paradigm for model fitting with applications to image analysis and automated cartography. Commun. ACM 24, 6 (1981), 381–395.
[11]
Stefan Valentin Gheorghita, Twan Basten, and Henk Corporaal. 2006. Profiling driven scenario detection and prediction for multimedia applications. In Proceedings of the Annual Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS’06). IEEE, 63–70.
[12]
Jan Heisswolf, Ralf König, and Jürgen Becker. 2012. A scalable NoC router design providing QoS support using weighted round robin scheduling. In Proceedings of the IEEE 10th International Symposium on Parallel and Distributed Processing with Applications. 625–632.
[13]
Mhand Hifi, Mustapha Michrafy, and Abdelkader Sbihi. 2004. Heuristic algorithms for the multiple-choice multidimensional knapsack problem. J. Operat. Res. Soc. 55, 12 (2004), 1323–1332.
[14]
Philip K. F. Holzenspies, Johann L. Hurink, Jan Kuper, and Gerard J. M. Smit. 2008. Run-time spatial mapping of streaming applications to a heterogeneous multi-processor system-on-chip (MPSoC). In Proceedings of the Annual Conference on Design, Automation and Test in Europe (DATE’08). IEEE, 212–217.
[15]
Jason Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan, G. Ruhl, D. Jenkins, H. Wilson, N. Borkar, G. Schrom, F. Pailet, S. Jain, T. Jacob, S. Yada, S. Marella, P. Salihundam, V. Erraguntla, M. Konow, M. Riepen, G. Droege, J. Lindemann, M. Gries, T. Apel, K. Henriss, T. Lund-Larsen, S. Steibl, S. Borkar, V. De, R. V. D. Wijngaart, and T. Mattson. 2010. A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’10). 108–109.
[16]
Guang-Bin Huang, Paramasivan Saratchandran, and Narasimhan Sundararajan. 2005. A generalized growing and pruning RBF (GGAP-RBF) neural network for function approximation. IEEE Trans. Neural Netw. 16, 1 (2005), 57–67.
[17]
Ehud D. Karnin. 1990. A simple procedure for pruning back-propagation trained neural networks. IEEE Trans. Neural Netw. 1, 2 (June1990), 239–242.
[18]
Robert Khasanov and Jeronimo Castrillon.2020. Energy-efficient runtime resource management for adaptable multi-application mapping. In Proceedings of the Design, Automation and Test in Europe Conference Exhibition (DATE’20). 909–914.
[19]
John R. Koza and Riccardo Poli. 2005. Genetic Programming. (2005), 127–164.
[20]
Guilherme Madalozzo, Liana Duenha, Rodolfo Azevedo, and Fernando G. Moraes. 2016. Scalability evaluation in many-core systems due to the memory organization. In Proceedings of the IEEE International Conference on Electronics, Circuits and Systems (ICECS’16). 396–399.
[21]
Sorin Manolache, Petru Eles, and Zebo Peng. 2008. Task mapping and priority assignment for soft real-time applications under deadline miss ratio constraints. ACM Trans. Embed. Comput. Syst. 7, 2, Article 19 (Jan.2008), 35 pages.
[22]
Giulia Meneghetti, Martin Danelljan, Michael Felsberg, and Klas Nordberg. 2011. Opt4J: A modular framework for meta-heuristic optimization. In Proceedings of the Genetic and Evolutionary Computation Conference (GECCO’11). ACM, New York, NY, 1723–1730.
[23]
Giulia Meneghetti, Martin Danelljan, Michael Felsberg, and Klas Nordberg. 2015. Image alignment for panorama stitching in sparsely structured environments. In Image Analysis. Springer, Cham.
[24]
Behnaz Pourmohseni, Michael Glaß, and Jürgen Teich. 2017. Automatic operating point distillation for hybrid mapping methodologies. In Proceedings of the Annual Conference on Design, Automation and Test in Europe (DATE’17). 1135–1140.
[25]
Behnaz Pourmohseni et al. 2019. Isolation-aware timing analysis and design space exploration for predictable and composable many-core systems. In Proceedings of the 31st Euromicro Conference on Real-Time Systems (ECRTS’19), Vol. 133.
[26]
Behnaz Pourmohseni, Fedor Smirnov, Heba Khdr, Stefan Wildermann, Jürgen Teich, and Jörg Henkel. 2019. Thermally composable hybrid application mapping for real-time applications in heterogeneous many-core systems. In Proceedings of the IEEE Real-Time Systems Symposium (RTSS’19). 220–232.
[27]
Behnaz Pourmohseni, Michael Glaß, Jörg Henkel, Heba Khdr, Martin Rapp, Valentina Richthammer, Tobias Schwarzer, Fedor Smirnov, Jan Spieck, Jürgen Teich, et al.2020. Hybrid application mapping for composable many-core systems: Overview and future perspective. J. Low Power Electr. Appl. 10 (2020), 1–37.
[28]
Wei Quan and Andy D. Pimentel. 2015. A hybrid task mapping algorithm for heterogeneous MPSoCs. Trans. Embed. Comput. Syst. 14 (2015), 14:1–14:25.
[29]
Douglas A. Reynolds. 2009. Gaussian mixture models. In Encyclopedia of Biometrics, p. 741.
[30]
Sascha Roloff, David Schafhauser, Frank Hannig, and Jürgen Teich. 2015. Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architectures. In Proceedings of the 52nd Design Automation Conference (DAC’15). ACM, New York, NY, Article 44, 6 pages.
[31]
Sascha Roloff, Alexander Pöppl, Tobias Schwarzer, Stefan Wildermann, Michael Bader, Michael Glaß, Frank Hannig, and Jürgen Teich. 2016. ActorX10: An Actor Library for X10. In Proceedings of the 6th ACM SIGPLAN Workshop on X10 (X10’16). ACM, New York, NY, 24–29.
[32]
Hiroshi Sasaki, Satoshi Imamura, and Koji Inoue. 2013. Coordinated power-performance optimization in manycores. In Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques. 51–61.
[33]
Sima Sinaei and Omid Fatemi. 2018. Run-time mapping algorithm for dynamic workloads on heterogeneous MPSoCs platforms. In Proceedings of the 21st Euromicro Conference on Digital System Design (DSD’18). 373–380.
[34]
Amit Kumar Singh, Wu Jigang, Akash Kumar, and Thambipillai Srikanthan. 2010. Run-time mapping of multiple communicating tasks on MPSoC platforms. Proc. Comput. Sci. 1, 1 (2010), 1019–1026.
[35]
Amit Kumar Singh et al. 2013. Mapping on multi/many-core systems: Survey of current and emerging trends. In Proceedings of the 50th Design Automation Conference (DAC’13). 1–10.
[36]
Jan Spieck, Stefan Wildermann, Tobias Schwarzer, Jürgen Teich, and Michael Glaß. 2019. Data-driven scenario-based application mapping for heterogeneous many-c systems. In Proceedings of the International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC’19).
[37]
Jan Spieck, Stefan Wildermann, and Jürgen Teich. 2020. Run-time scenario-based MPSoC mapping reconfiguration using machine learning models. In Proceedings of the Annual Conference on Machine Learning for CAD (MLCAD’19).
[38]
Jan Spieck, Stefan Wildermann, and Jürgen Teich. 2020. Scenario-based soft real-t hybrid application mapping for MPSoCs. In Proceedings of the Annual Conference on Design Automation Conference (DAC’20).
[39]
Jürgen Teich, Jörg Henkel, Andreas Herkersdorf, Doris Schmitt-Landsiedel, Wolfgang Schröder-Preikschat, and Gregor Snelting. 2011. Invasive computing: An overview. In Multiprocessor System-on-Chip. 241–268.
[40]
Kenzo Van Craeynest, Aamer Jaleel, Lieven Eeckhout, Paolo Narvaez, and Joel Emer. 2012. Scheduling heterogeneous multi-cores through performance impact estimation (PIE). In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA’12). 213–224.
[41]
Peter van Stralen and Andy Pimentel. 2010. Scenario-based design space exploration of MPSoCs. In Proceedings of the IEEE International Conference on Computer Design (ICCD’10). 305–312.
[42]
A. Weichslgartner, D. Gangadharan, S. Wildermann, M. Glaß, and J. Teich. 2014. DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core system. In Proceedings of the International Conference on Hardware Software Codesign and System Synthesis (CODES+ISSS’14). 1–10.
[43]
Andreas Weichslgartner, Stefan Wildermann, Deepak Gangadharan, Michael Glaß, and Jürgen Teich. 2018. A design-time/run-time application mapping methodology for predictable execution time in MPSoCs. ACM Trans. Embed. Comput. Syst. 17, 5 (2018), 89:1–89:25.
[44]
Stefan Wildermann, M. Glaß, and J. Teich. 2014. Multi-objective distributed run-time resource management for many-cores. In Proceedings of the Annual Conference on Design, Automation and Test in Europe (DATE’14). 1–6.
[45]
Simei Yang, Sébastien lez Nours, Maria mendez Real, and Sébastien Pillement. 2019. Mapping and frequency joint optimization for energy efficient execution of multiple applications on multicore systems. In Proceedings of the Conference on Design and Architectures for Signal and Image Processing (DASIP’19). 29–34.
[46]
Xingyi Zhang, Ye Tian, Ran Cheng, and Yaochu Jin. 2014. An efficient approach to nondominated sorting for evolutionary multiobjective optimization. IEEE Trans. Evol. Comput. 19, 2 (2014), 201–213.

Cited By

View all
  • (2024)Research on Intelligent Analysis Method for the Impact of Running APP Software on Physical Fitness Indicators of College StudentsEAI Endorsed Transactions on Pervasive Health and Technology10.4108/eetpht.10.550610Online publication date: 26-Apr-2024
  • (2024)A Scenario-Based DVFS-Aware Hybrid Application Mapping Methodology for MPSoCsACM Transactions on Design Automation of Electronic Systems10.1145/366063329:4(1-43)Online publication date: 21-Jun-2024
  • (2024)A comprehensive study and holistic review of empowering network-on-chip application mapping through machine learning techniquesDiscover Electronics10.1007/s44291-024-00027-w1:1Online publication date: 24-Oct-2024
  • Show More Cited By

Index Terms

  1. A Learning-based Methodology for Scenario-aware Mapping of Soft Real-time Applications onto Heterogeneous MPSoCs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 1
    January 2023
    321 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3573313
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 13 December 2022
    Online AM: 31 March 2022
    Accepted: 26 March 2022
    Revised: 10 February 2022
    Received: 05 July 2021
    Published in TODAES Volume 28, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Hybrid application mapping
    2. machine learning
    3. runtime manager
    4. design space exploration

    Qualifiers

    • Research-article
    • Refereed

    Funding Sources

    • Deutsche Forschungsgemeinschaft (DFG, German Research Foundation)

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)56
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 01 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Research on Intelligent Analysis Method for the Impact of Running APP Software on Physical Fitness Indicators of College StudentsEAI Endorsed Transactions on Pervasive Health and Technology10.4108/eetpht.10.550610Online publication date: 26-Apr-2024
    • (2024)A Scenario-Based DVFS-Aware Hybrid Application Mapping Methodology for MPSoCsACM Transactions on Design Automation of Electronic Systems10.1145/366063329:4(1-43)Online publication date: 21-Jun-2024
    • (2024)A comprehensive study and holistic review of empowering network-on-chip application mapping through machine learning techniquesDiscover Electronics10.1007/s44291-024-00027-w1:1Online publication date: 24-Oct-2024
    • (2023)End-to-end programmable computing systemsCommunications Engineering10.1038/s44172-023-00127-72:1Online publication date: 24-Nov-2023

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    Full Text

    HTML Format

    View this article in HTML Format.

    HTML Format

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media