skip to main content
survey

Energy-Efficient Database Systems: A Systematic Survey

Published: 07 December 2022 Publication History

Abstract

Constructing energy-efficient database systems to reduce economic costs and environmental impact has been studied for 10 years. With the emergence of the big data age, along with the data-centric and data-intensive computing trend, the great amount of energy consumed by database systems has become a major concern in a society that pursues Green IT. However, to the best of our knowledge, despite the importance of this matter in Green IT, there have been few comprehensive or systematic studies conducted in this field. Therefore, the objective of this article is to present a literature survey with breadth and depth on existing energy management techniques for database systems. The existing literature is organized hierarchically with two major branches focusing separately on energy consumption models and energy-saving techniques. Under each branch, we first introduce some basic knowledge, then we classify, discuss, and compare existing research according to their core ideas, basic approaches, and main characteristics. Finally, based on these observations through our study, we identify multiple open issues and challenges, and provide insights for future research. It is our hope that our outcome of this work will help researchers develop more energy-efficient database systems.

References

[1]
Richard E. Brown, Richard Brown, Eric Masanet, Bruce Nordman, Bill Tschudi, Arman Shehabi, John Stanley, et al. 2007. Report to Congress on Server and Data Center Energy Efficiency: Public Law 109-431. Technical Report. Lawrence Berkeley National Laboratory, Berkeley, CA.
[2]
Rakesh Agrawal, Anastasia Ailamaki, Philip A. Bernstein, Eric A. Brewer, Michael J. Carey, Surajit Chaudhuri, AnHai Doan, et al. 2008. The Claremont report on database research. ACM SIGMOD Record 37, 3 (2008), 9–19.
[3]
Barbara Kitchenham, O. Pearl Brereton, David Budgen, Mark Turner, John Bailey, and Stephen Linkman. 2009. Systematic literature reviews in software engineering—A systematic literature review. Information and Software Technology 51, 1 (2009), 7–15.
[4]
Goetz Graefe. 2008. Database servers tailored to improve energy efficiency. In Proceedings of the 2008 EDBT Workshop on Software Engineering for Tailor-Made Data Management. 24–28.
[5]
Stavros Harizopoulos, Mehul A. Shah, Justin Meza, and Parthasarathy Ranganathan. 2009. Energy efficiency: The new holy grail of data management systems research. CIDR 96, 3 (2009), 81–90.
[6]
Jun Wang, Ling Feng, Wenwei Xue, and Zhanjiang Song. 2011. A survey on energy-efficient data management. ACM SIGMOD Record 40, 2 (2011), 17–23.
[7]
Xindong You, Xueqiang Lv, Zhikai Zhao, Junmei Han, and Xueping Ren. 2020. A survey and taxonomy on energy-aware data management strategies in cloud environment. IEEE Access 8 (2020), 94279–94293.
[8]
Weiwei Lin, Fang Shi, Wentai Wu, Keqin Li, Guangxin Wu, and Al-Alas Mohammed. 2020. A taxonomy and survey of power models and power modeling for cloud servers. ACM Computing Surveys 53, 5 (2020), 1–41.
[9]
Luca Benini, Alessandro Bogliolo, and Giovanni De Micheli. 2000. A survey of design techniques for system-level dynamic power management. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 8, 3 (2000), 299–316.
[10]
Charles Lefurgy, Karthick Rajamani, Freeman Rawson, Wes Felter, Michael Kistler, and Tom W. Keller. 2003. Energy management for commercial servers. Computer 36, 12 (2003), 39–48.
[11]
Meikel Poess, Raghunath Othayoth Nambiar, Kushagra Vaid, John M. Stephens Jr., Karl Huppler, and Evan Haines. 2010. Energy benchmarks: A detailed analysis. In Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking. 131–140.
[12]
Andreas Berl, Erol Gelenbe, Marco Di Girolamo, Giovanni Giuliani, Hermann De Meer, Minh Quan Dang, and Kostas Pentikousis. 2010. Energy-efficient cloud computing. Computer Journal 53, 7 (2010), 1045–1051.
[13]
Anton Beloglazov, Rajkumar Buyya, Young Choon Lee, and Albert Zomaya. 2011. A taxonomy and survey of energy-efficient data centers and cloud computing systems. In Advances in Computers. Vol. 82. Elsevier, 47–111.
[14]
Junaid Shuja, Sajjad A. Madani, Kashif Bilal, Khizar Hayat, Samee U. Khan, and Shahzad Sarwar. 2012. Energy-efficient data centers. Computing 94, 12 (2012), 973–994.
[15]
Giorgio Luigi Valentini, Walter Lassonde, Samee Ullah Khan, Nasro Min-Allah, Sajjad A. Madani, Juan Li, Limin Zhang, et al. 2013. An overview of energy efficiency techniques in cluster computing systems. Cluster Computing 16, 1 (2013), 3–15.
[16]
Narseo Vallina-Rodriguez and Jon Crowcroft. 2012. Energy management techniques in modern mobile handsets. IEEE Communications Surveys & Tutorials 15, 1 (2012), 179–198.
[17]
Tom Bostoen, Sape Mullender, and Yolande Berbers. 2013. Power-reduction techniques for data-center storage systems. ACM Computing Surveys 45, 3 (2013), 1–38.
[18]
Sparsh Mittal. 2014. A survey of techniques for improving energy efficiency in embedded computing systems. International Journal of Computer Aided Engineering and Technology 6, 4 (2014), 440–459.
[19]
Anne-Cecile Orgerie, Marcos Dias de Assuncao, and Laurent Lefevre. 2014. A survey on techniques for improving the energy efficiency of large-scale distributed systems. ACM Computing Surveys 46, 4 (2014), 1–31.
[20]
Fanxin Kong and Xue Liu. 2014. A survey on green-energy-aware power management for datacenters. ACM Computing Surveys 47, 2 (2014), 1–38.
[21]
Mohammad Ashraful Hoque, Matti Siekkinen, Kashif Nizam Khan, Yu Xiao, and Sasu Tarkoma. 2015. Modeling, profiling, and debugging the energy consumption of mobile devices. ACM Computing Surveys 48, 3 (2015), 1–40.
[22]
Miyuru Dayarathna, Yonggang Wen, and Rui Fan. 2015. Data center energy consumption modeling: A survey. IEEE Communications Surveys & Tutorials 18, 1 (2015), 732–794.
[23]
Fahimeh Dabaghi, Zeinab Movahedi, and Rami Langar. 2017. A survey on green routing protocols using sleep-scheduling in wired networks. Journal of Network and Computer Applications 77 (2017), 106–122.
[24]
Peijin Cong, Junlong Zhou, Liying Li, Kun Cao, Tongquan Wei, and Keqin Li. 2020. A survey of hierarchical energy optimization for mobile edge computing: A perspective from end devices to the cloud. ACM Computing Surveys 53, 2 (2020), 1–44.
[25]
Rafael Weingärtner, Gabriel Beims Bräscher, and Carlos Becker Westphall. 2015. Cloud resource management: A survey on forecasting and profiling models. Journal of Network and Computer Applications 47 (2015), 99–106.
[26]
Suzanne Rivoire, Mehul A. Shah, Parthasarathy Ranganathan, Christos Kozyrakis, and Justin Meza. 2007. Models and metrics to enable energy-efficiency optimizations. Computer 40, 12 (2007), 39–48.
[27]
Willis Lang, Ramakrishnan Kandhan, and Jignesh M. Patel. 2011. Rethinking query processing for energy efficiency: Slowing down to win the race.IEEE Data Engineering Bulletin 34, 1 (2011), 12–23.
[28]
Eric Lo, Carsten Binnig, Donald Kossmann, M. Tamer Özsu, and Wing-Kai Hon. 2010. A framework for testing DBMS features. VLDB Journal 19, 2 (2010), 203–230.
[29]
Craig Shallahamer, Jody Alkema, Tim Gorman, and Jared Still. 2007. Forecasting Oracle Performance. Springer.
[30]
Surajit Chaudhuri. 1998. An overview of query optimization in relational systems. In Proceedings of the 17th ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems. 34–43.
[31]
Zichen Xu, Yi-Cheng Tu, and Xiaorui Wang. 2013. Dynamic energy estimation of query plans in database systems. In Proceedings of the 2013 IEEE 33rd International Conference on Distributed Computing Systems. IEEE, Los Alamitos, CA, 83–92.
[32]
Zichen Xu, Yi-Cheng Tu, and Xiaorui Wang. 2015. Online energy estimation of relational operations in database systems. IEEE Transactions on Computers 64, 11 (2015), 3223–3236.
[33]
Meikel Poess and Raghunath Othayoth Nambiar. 2008. Energy cost, the key challenge of today’s data centers: A power consumption analysis of TPC-C results. Proceedings of the VLDB Endowment 1, 2 (2008), 1229–1240.
[34]
Luiz André Barroso and Urs Hölzle. 2007. The case for energy-proportional computing. Computer 40, 12 (2007), 33–37.
[35]
Zichen Xu, Yi-Cheng Tu, and Xiaorui Wang. 2010. Exploring power-performance tradeoffs in database systems. In Proceedings of the 2010 IEEE 26th International Conference on Data Engineering (ICDE’10). IEEE, Los Alamitos, CA, 485–496.
[36]
Zichen Xu. 2010. Building a power-aware database management system. In Proceedings of the 4th SIGMOD Ph.D. Workshop on Innovative Database Research. 1–6.
[37]
Xue Liu, Xiaoyun Zhu, Pradeep Padala, Zhikui Wang, and Sharad Singhal. 2007. Optimal multivariate control for differentiated services on a shared hosting platform. In Proceedings of the 2007 46th IEEE Conference on Decision and Control. IEEE, Los Alamitos, CA, 3792–3799.
[38]
Binglei Guo, Jiong Yu, Bin Liao, and Dexian Yang. 2015. SQL execution power profiling and modeling. Journal of Computer Applications 12, 33 (2015), 3362–3367.
[39]
Tobias Flach. 2010. Optimizing Query Execution to Improve the Energy Efficiency of Database Management Systems. Technical Report. University of Southern California.
[40]
Xiaowei Liu, Jinbao Wang, Haijie Wang, and Hong Gao. 2013. Generating power-efficient query execution plan. In Proceedings of the 2nd International Conference on Advances in Computer Science and Engineering (CSE’13). 284–288.
[41]
Amine Roukh and Ladjel Bellatreche. 2015. Eco-processing of OLAP complex queries. In Proceedings of the International Conference on Big Data Analytics and Knowledge Discovery. 229–242.
[42]
Howard David, Eugene Gorbatov, Ulf R. Hanebutte, Rahul Khanna, and Christian Le. 2010. RAPL: Memory power estimation and capping. In Proceedings of the 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED’10). IEEE, Los Alamitos, CA, 189–194.
[43]
Sparsh Mittal. 2012. A survey of architectural techniques for DRAM power management. International Journal of High Performance Systems Architecture 4, 2 (2012), 110–119.
[44]
Raja Appuswamy, Matthaios Olma, and Anastasia Ailamaki. 2015. Scaling the memory power wall with DRAM-aware data management. In Proceedings of the 11th International Workshop on Data Management on New Hardware. 1–9.
[45]
Binglei Guo, Jiong Yu, Bin Liao, and Dexian Yang. 2017. SQL energy consumption forecasting model based on database load status. Journal of Computational Science 44, 1 (2017), 208–213.
[46]
Binglei Guo, Jiong Yu, Bin Liao, Dexian Yang, and Liang Lu. 2017. A green framework for DBMS based on energy-aware query optimization and energy-efficient query processing. Journal of Network and Computer Applications 84 (2017), 118–130.
[47]
Justin Meza, Mehul A. Shah, Parthasarathy Ranganathan, Mike Fitzner, and Judson Veazey. 2009. Tracking the power in an enterprise decision support system. In Proceedings of the 2009 ACM/IEEE International Symposium on Low Power Electronics and Design. 261–266.
[48]
Manuel Rodriguez-Martinez, Harold Valdivia, Jaime Seguel, and Melvin Greer. 2011. Estimating power/energy consumption in database servers. Procedia Computer Science 6 (2011), 112–117.
[49]
Mayuresh Kunjir, Puneet K. Birwa, and Jayant R. Haritsa. 2012. Peak power plays in database engines. In Proceedings of the 15th International Conference on Extending Database Technology. 444–455.
[50]
Amine Roukh. 2015. Estimating power consumption of batch query workloads. In Model and Data Engineering. Springer, 198–212.
[51]
Xiaobo Fan, Wolf-Dietrich Weber, and Luiz Andre Barroso. 2007. Power provisioning for a warehouse-sized computer. ACM SIGARCH Computer Architecture News 35, 2 (2007), 13–23.
[52]
Maxime Colmant, Pascal Felber, Romain Rouvoy, and Lionel Seinturier. 2017. WattsKit: Software-defined power monitoring of distributed systems. In Proceedings of the 2017 17th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGRID’17). IEEE, Los Alamitos, CA, 514–523.
[53]
Lothar F. Mackert and Guy M. Lohman. 1986. R* optimizer validation and performance evaluation for local queries. In Proceedings of the 1986 ACM SIGMOD International Conference on Management of Data. 84–95.
[54]
Molly Web; The Climate Group. 2008. Smart 2020: Enabling the Low Carbon Economy in the Information Age. Global eSustainability Initiative.
[55]
Ding Li, Shuai Hao, Jiaping Gui, and William G. J. Halfond. 2014. An empirical study of the energy consumption of Android applications. In Proceedings of the 2014 IEEE International Conference on Software Maintenance and Evolution. IEEE, Los Alamitos, CA, 121–130.
[56]
Willis Lang, Stavros Harizopoulos, Jignesh M. Patel, Mehul A. Shah, and Dimitris Tsirogiannis. 2012. Towards energy-efficient database cluster design. arXiv preprint arXiv:1208.1933 (2012).
[57]
Dimitris Tsirogiannis, Stavros Harizopoulos, and Mehul A. Shah. 2010. Analyzing the energy efficiency of a database server. In Proceedings of the 2010 ACM SIGMOD International Conference on Management of Data. 231–242.
[58]
Thomas Kissinger, Dirk Habich, and Wolfgang Lehner. 2018. Adaptive energy-control for in-memory database systems. In Proceedings of the 2018 International Conference on Management of Data. 351–364.
[59]
Suzanne Rivoire, Mehul A. Shah, Parthasarathy Ranganathan, and Christos Kozyrakis. 2007. JouleSort: A balanced energy-efficiency benchmark. In Proceedings of the 2007 ACM SIGMOD International Conference on Management of Data. 365–376.
[60]
Daniel Schall, Volker Höfner, and Manuel Kern. 2011. Towards an enhanced benchmark advocating energy-efficient systems. In Proceedings of the Technology Conference on Performance Evaluation and Benchmarking. 31–45.
[61]
Andy Hooper. 2008. Green computing. Communication of the ACM 51, 10 (2008), 11–13.
[62]
Meikel Poess, Da Qi Ren, Tilmann Rabl, and Hans-Arno Jacobsen. 2018. Methods for quantifying energy consumption in TPC-H. In Proceedings of the 2018 ACM/SPEC International Conference on Performance Engineering. 293–304.
[63]
Binglei Guo, Jiong Yu, Bin Liao, and Dexian Yang. 2015. SQL energy consumption modeling and optimization research. Journal of Computational Science 42, 10 (2015), 202–207.
[64]
Hagen Höpfner and Christian Bunse. 2009. Towards an energy aware DBMS—Energy consumptions of sorting and join algorithms. In Proceedings of the 21st GI Workshop on Foundations of Databases. 69–73.
[65]
Christian Bunse, Hagen Höpfner, Essam Mansour, and Suman Roychoudhury. 2009. Exploring the energy consumption of data sorting algorithms in embedded and mobile environments. In Proceedings of the 2009 10th International Conference on Mobile Data Management: Systems, Services, and Middleware. IEEE, Los Alamitos, CA, 600–607.
[66]
Zichen Xu, Yi-Cheng Tu, and Xiaorui Wang. 2012. PET: Reducing database energy cost via query optimization. Proceedings of the VLDB Endowment 5, 12 (2012), 1954–1957.
[67]
Robert J. Bestgen, Wei Hu, Shantan Kethireddy, Andrew P. Passe, and Ulrich Thiemann. 2012. Generating database query plans. US Patent 8,312,007.
[68]
Robert J. Bestgen, Wei Hu, Shantan Kethireddy, Andrew P. Passe, and Ulrich Thiemann. 2015. Organizing databases for energy efficiency. US Patent 9,189,047.
[69]
Baoping Xing. 2014. Research on Energy Efficient Query Processing Technologies for Database Systems. Master’s Thesis. University of Science and Technology of China.
[70]
Amine Roukh, Ladjel Bellatreche, Nikos Tziritas, and Carlos Ordonez. 2016. Energy-aware query processing on a parallel database cluster node. In Proceedings of the International Conference on Algorithms and Architectures for Parallel Processing. 260–269.
[71]
Amine Roukh, Ladjel Bellatreche, and Carlos Ordonez. 2016. EnerQuery: Energy-aware query processing. In Proceedings of the 25th ACM International on Conference on Information and Knowledge Management. 2465–2468.
[72]
Simon Pierre Dembele, Ladjel Bellatreche, Carlos Ordonez, and Amine Roukh. 2020. Think big, start small: A good initiative to design green query optimizers. Cluster Computing 23, 3 (2020), 2323–2345.
[73]
Simon Pierre Dembele, Ladjel Bellatreche, and Carlos Ordonez. 2020. Towards green query processing—Aauditing power before deploying. In Proceedings of the 2020 IEEE International Conference on Big Data (Big Data’20). IEEE, Los Alamitos, CA, 2492–2501.
[74]
Hadi Esmaeilzadeh, Emily Blem, Renee St. Amant, Karthikeyan Sankaralingam, and Doug Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the 2011 38th Annual International Symposium on Computer Architecture (ISCA’11). IEEE, Los Alamitos, CA, 365–376.
[75]
Mustafa Korkmaz, Martin Karsten, Kenneth Salem, and Semih Salihoglu. 2018. Workload-aware CPU performance scaling for transactional database systems. In Proceedings of the 2018 International Conference on Management of Data. 291–306.
[76]
Willis Lang and Jignesh Patel. 2009. Towards eco-friendly database management systems. arXiv preprint arXiv:0909.1767 (2009).
[77]
Zichen Xu, Xiaorui Wang, and Yi-Cheng Tu. 2013. Power-aware throughput control for database management systems. In Proceedings of the 10th International Conference on Autonomic Computing (ICAC’13). 315–324.
[78]
Yi-Cheng Tu, Xiaorui Wang, Bo Zeng, and Zichen Xu. 2014. A system for energy-efficient data management. ACM SIGMOD Record 43, 1 (2014), 21–26.
[79]
Puyuan Yang, Peiquan Jin, and Lihua Yue. 2014. Exploiting the performance-energy tradeoffs for mobile database applications.Journal of Universal Computer Science 20, 10 (2014), 1488–1498.
[80]
Yanhong Zhu. 2014. Real-Time Power Modeling and Control in the Power-Aware DBMS. Master’s Thesis. Zhejiang University of Technology.
[81]
Gene F. Franklin, J. David Powell, and Michael L. Workman. 1998. Digital Control of Dynamic Systems (3rd ed.). Addison-Wesley, Menlo Park, CA.
[82]
Iraklis Psaroudakis, Thomas Kissinger, Danica Porobic, Thomas Ilsche, Erietta Liarou, Pınar Tözün, Anastasia Ailamaki, and Wolfgang Lehner. 2014. Dynamic fine-grained scheduling for energy-efficient main-memory queries. In Proceedings of the 10th International Workshop on Data Management on New Hardware. 1–7.
[83]
Sebastian Götz, Thomas Ilsche, Jorge Cardoso, Josef Spillner, Thomas Kissinger, Uwe Aßmann, Wolfgang Lehner, Wolfgang E. Nagel, and Alexander Schill. 2014. Energy-efficient databases using sweet spot frequencies. In Proceedings of the 2014 IEEE/ACM 7th International Conference on Utility and Cloud Computing. IEEE, Los Alamitos, CA, 871–876.
[84]
Mustafa Korkmaz, Alexey Karyakin, Martin Karsten, and Kenneth Salem. 2015. Towards dynamic green-sizing for database servers. In Proceedings of the International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures (ADMS@VLDB’15). 25–36.
[85]
Woochul Kang and Jaeyong Chung. 2017. Energy-efficient response time management for embedded databases. Real-Time Systems 53, 2 (2017), 228–253.
[86]
Annett Ungethüm, Thomas Kissinger, Dirk Habich, and Wolfgang Lehner. 2016. Work-energy profiles: General approach and in-memory database application. In Proceedings of the Technology Conference on Performance Evaluation and Benchmarking. 142–158.
[87]
Annett Ungethüm, Thomas Kissinger, Willi-Wolfram Mentzel, Dirk Habich, and Wolfgang Lehner. 2016. Energy elasticity on heterogeneous hardware using adaptive resource reconfiguration LIVE. In Proceedings of the 2016 International Conference on Management of Data. 2173–2176.
[88]
Rathijit Sen and Alan Halverson. 2017. Frequency governors for cloud database OLTP workloads. In Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED’17). IEEE, Los Alamitos, CA, 1–6.
[89]
Thomas Kissinger, Marcus Hähnel, Till Smejkal, Dirk Habich, Hermann Härtig, and Wolfgang Lehner. 2018. Energy-utility function-based resource control for in-memory database systems live. In Proceedings of the 2018 International Conference on Management of Data. 1717–1720.
[90]
Chaopeng Guo, Jean-Marc Pierson, Hui Liu, and Jie Song. 2018. Frequency selection approach for energy aware cloud database. IEEE Access 7 (2018), 1927–1942.
[91]
Chaopeng Guo, Jean-Marc Pierson, Jie Song, and Christina Herzog. 2019. Hot-n-cold model for energy aware cloud databases. Journal of Parallel and Distributed Computing 123 (2019), 130–144.
[92]
Zichen Xu, Gele Bai, Ao Cui, and Shasha Wang. 2021. Power-aware throughput control for containerized relational operation. CCF Transactions on High Performance Computing 3, 1 (2021), 70–84.
[93]
Adrian Michalke, Philipp M. Grulich, Clemens Lutz, Steffen Zeuch, and Volker Markl. 2021. An energy-efficient stream join for the Internet of Things. In Proceedings of the 17th International Workshop on Data Management on New Hardware (DaMoN’21). 1–6.
[94]
Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Eugene Gorbatov, Howard David, and Zhao Zhang. 2008. Software thermal management of DRAM memory for multicore systems. ACM SIGMETRICS Performance Evaluation Review 36, 1 (2008), 337–348.
[95]
Jeff Janukowicz, David Reinsel, and John Rydning. 2008. Worldwide Solid State Drive 2008–2012 Forecast and Analysis. Technical Report 212736. IDC.
[96]
Jim Gray. 2007. Tape is dead, disk is tape, flash is disk, RAM locality is king. In Proceedings of the 3rd Biennial Conference on Innovative Data Systems Research (CIDR’07).231–242.
[97]
Jim Gray and Bob Fitzgerald. 2008. Flash disk opportunity for server applications: Future flash-based disks could provide breakthroughs in IOPS, power, reliability, and volumetric capacity when compared with conventional disks.Queue 6, 4 (2008), 18–23.
[98]
Howard David, Chris Fallin, Eugene Gorbatov, Ulf R. Hanebutte, and Onur Mutlu. 2011. Memory power management via dynamic voltage/frequency scaling. In Proceedings of the 8th ACM International Conference on Autonomic Computing. 31–40.
[99]
Jayaprakash Pisharath, Alok Choudhary, and Mahmut Kandemir. 2004. Energy management schemes for memory-resident database systems. In Proceedings of the 13th ACM International Conference on Information and Knowledge Management. 218–227.
[100]
Jayaprakash Pisharath, Alok Choudhary, and Mahmut Kandemir. 2004. Reducing energy consumption of queries in memory-resident database systems. In Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems. 35–45.
[101]
Chang S. Bae and Tayeb Jamel. 2011. Energy-aware memory management through database buffer control. In Proceedings of the Workshop on Energy-Efficient Design.
[102]
Ahmad Hassan, Hans Vandierendonck, and Dimitrios S. Nikolopoulos. 2015. Energy-efficient in-memory data stores on hybrid memory hierarchies. In Proceedings of the 11th International Workshop on Data Management on New Hardware. 1–8.
[103]
Ahmad Hassan, Dimitrios S. Nikolopoulos, and Hans Vandierendonck. 2019. Fast and energy-efficient OLAP data management on hybrid main memory systems. IEEE Transactions on Computers 68, 11 (2019), 1597–1611.
[104]
Ahmad Hassan, Hans Vandierendonck, and Dimitrios S. Nikolopoulos. 2015. Software-managed energy-efficient hybrid DRAM/NVM main memory. In Proceedings of the 12th ACM International Conference on Computing Frontiers. 1–8.
[105]
Alexey Karyakin and Kenneth Salem. 2017. An analysis of memory power consumption in database systems. In Proceedings of the 13th International Workshop on Data Management on New Hardware. 1–9.
[106]
Alexey Karyakin and Kenneth Salem. 2019. DimmStore: Memory power optimization for database systems. Proceedings of the VLDB Endowment 12, 11 (2019), 1499–1512.
[107]
Markus Dreseler, Thomas Kissinger, Timo Djürken, Eric Lübke, Matthias Uflacker, Dirk Habich, Hasso Plattner, and Wolfgang Lehner. 2017. Hardware-accelerated memory operations on large-scale NUMA systems. In Proceedings of the International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures (ADMS@VLDB’17). 34–41.
[108]
Goetz Graefe, Haris Volos, Hideaki Kimura, Harumi Kuno, Joseph Tucek, Mark Lillibridge, and Alistair Veitch. 2014. In-memory performance for big data. Proceedings of the VLDB Endowment 8, 1 (2014), 37–48.
[109]
Franz Färber, Sang Kyun Cha, Jürgen Primsch, Christof Bornhövd, Stefan Sigg, and Wolfgang Lehner. 2012. SAP HANA database: Data management for modern business applications. ACM SIGMOD Record 40, 4 (2012), 45–51.
[110]
Yi Zhou, Shubbhi Taneja, Xiao Qin, Wei-Shinn Ku, and Jifu Zhang. 2020. EDOM: Improving energy efficiency of database operations on multicore servers. Future Generation Computer Systems 105 (2020), 1002–1015.
[111]
Simone Dominico, Eduardo C. de Almeida, Jorge A. Meira, and Marco A. Z. Alves. 2018. An elastic multi-core allocation mechanism for database systems. In Proceedings of the 2018 IEEE 34th International Conference on Data Engineering (ICDE’18). IEEE, Los Alamitos, CA, 473–484.
[112]
Mohsen Imani, Saransh Gupta, Sahil Sharma, and Tajana Simunic Rosing. 2018. NVQuery: Efficient query processing in nonvolatile memory. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38, 4 (2018), 628–639.
[113]
Srinivasan Chandrasekharan and Chris Gniady. 2018. QAMEM: Query aware memory energy management. In Proceedings of the 2018 18th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGRID’18). IEEE, Los Alamitos, CA, 412–421.
[114]
Zhi Li, Peiquan Jin, Xuan Su, Kai Cui, and Lihua Yue. 2009. CCF-LRU: A new buffer replacement algorithm for flash memory. IEEE Transactions on Consumer Electronics 55, 3 (2009), 1351–1359.
[115]
Yi Ou, Theo Härder, and Peiquan Jin. 2009. CFDC: A flash-aware replacement policy for database buffer management. In Proceedings of the 5th International Workshop on Data Management on New Hardware. 15–20.
[116]
Peiquan Jin, Yi Ou, Theo Härder, and Zhi Li. 2012. AD-LRU: An efficient buffer replacement algorithm for flash-based databases. Data & Knowledge Engineering 72 (2012), 83–102.
[117]
Woochul Kang, Sang H. Son, and John A. Stankovic. 2008. Power-aware data buffer cache management in real-time embedded databases. In Proceedings of the 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications. IEEE, Los Alamitos, CA, 35–44.
[118]
Ulpian Cesana and Zhen He. 2010. Multi-buffer manager: Energy-efficient buffer manager for databases on flash memory. ACM Transactions on Embedded Computing Systems 9, 3 (2010), 1–36.
[119]
Yi Ou, Theo Härder, and Daniel Schall. 2010. Performance and power evaluation of flash-aware buffer algorithms. In Proceedings of the International Conference on Database and Expert Systems Applications. 183–197.
[120]
Sang-Won Lee, Bongki Moon, Chanik Park, Jae-Myung Kim, and Sang-Woo Kim. 2008. A case for flash memory SSD in enterprise database applications. In Proceedings of the 2008 ACM SIGMOD International Conference on Management of Data. 1075–1086.
[121]
Dimitris Tsirogiannis, Stavros Harizopoulos, Mehul A. Shah, Janet L. Wiener, and Goetz Graefe. 2009. Query processing techniques for solid state drives. In Proceedings of the 2009 ACM SIGMOD International Conference on Management of Data. 59–72.
[122]
Jorge Guerra, Wendy Belluomini, Joseph Glider, Karan Gupta, and Himabindu Pucha. 2010. Energy proportionality for storage: Impact and feasibility. ACM SIGOPS Operating Systems Review 44, 1 (2010), 35–39.
[123]
Jaeyoung Do, Donghui Zhang, Jignesh M. Patel, David J. DeWitt, Jeffrey F. Naughton, and Alan Halverson. 2011. Turbocharging DBMS buffer pool using SSDs. In Proceedings of the 2011 ACM SIGMOD International Conference on Management of Data. 1113–1124.
[124]
Xiaofeng Meng, Peiquan Jin, Wei Cao, and Lihua Yue. 2011. Report on the first international workshop on flash-based database systems (FlashDB 2011). ACM SIGMOD Record 40, 2 (2011), 40–44.
[125]
Theo Härder, Karsten Schmidt, Yi Ou, and Sebastian Bächle. 2009. Towards flash disk use in databases—Keeping performance while saving energy? InDatenbanksysteme in Business, Technologie und Web (BTW’09).
[126]
Theo Härder, Volker Hudlet, Yi Ou, and Daniel Schall. 2011. Energy efficiency is not enough, energy proportionality is needed! In Proceedings of the International Conference on Database Systems for Advanced Applications. 226–239.
[127]
Daniel Schall, Volker Hudlet, and Theo Härder. 2010. Enhancing energy efficiency of database applications using SSDs. In Proceedings of the 3rd C* Conference on Computer Science and Software Engineering. 1–9.
[128]
Daniel Schall and Theo Härder. 2013. Towards an energy-proportional storage system using a cluster of wimpy nodes. In Datenbanksysteme für Business, Technologie und Web (BTW’13).
[129]
Daniel Bausch, Ilia Petrov, and Alejandro Buchmann. 2012. Making cost-based query optimization asymmetry-aware. In Proceedings of the 8th International Workshop on Data Management on New Hardware. 24–32.
[130]
Sangwon Park. 2013. Flash-aware cost model for embedded database query optimizer.Journal of Information Science and Engineering 29, 5 (2013), 947–967.
[131]
Steven Pelley, Kristen LeFevre, and Thomas F. Wenisch. 2011. Do query optimizers need to be SSD-aware? In Proceedings of the International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures (ADMS@VLDB’11). 44–51.
[132]
Jaeyoung Do, Yang-Suk Kee, Jignesh M. Patel, Chanik Park, Kwanghyun Park, and David J. DeWitt. 2013. Query processing on smart SSDs: Opportunities and challenges. In Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data. 1221–1230.
[133]
Bingsheng He. 2014. When data management systems meet approximate hardware: Challenges and opportunities. Proceedings of the VLDB Endowment 7, 10 (2014), 877–880.
[134]
Kai Zhang, Feng Chen, Xiaoning Ding, Yin Huai, Rubao Lee, Tian Luo, Kaibo Wang, Yuan Yuan, and Xiaodong Zhang. 2015. Hetero-DB: Next generation high-performance database systems by best utilizing heterogeneous computing and storage resources. Journal of Computer Science and Technology 30, 4 (2015), 657–678.
[135]
Ji-Tae Yun, Su-Kyung Yoon, Jeong-Geun Kim, and Shin-Dug Kim. 2020. Effective data prediction method for in-memory database applications. Journal of Supercomputing 76, 1 (2020), 580–601.
[136]
Anastasia Ailamaki. 2015. Databases and hardware: The beginning and sequel of a beautiful friendship. Proceedings of the VLDB Endowment 8, 12 (2015), 2058–2061.
[137]
Rene Mueller and Jens Teubner. 2010. FPGAs: A new point in the database design space. In Proceedings of the 13th International Conference on Extending Database Technology. 721–723.
[138]
Jared Casper and Kunle Olukotun. 2014. Hardware acceleration of database operations. In Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. 151–160.
[139]
Onur Kocberber, Boris Grot, Javier Picorel, Babak Falsafi, Kevin Lim, and Parthasarathy Ranganathan. 2013. Meet the walkers accelerating index traversals for in-memory databases. In Proceedings of the 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’13). IEEE, Los Alamitos, CA, 468–479.
[140]
Andreas Becher, Florian Bauer, Daniel Ziener, and Jürgen Teich. 2014. Energy-aware SQL query acceleration through FPGA-based dynamic partial reconfiguration. In Proceedings of the 2014 24th International Conference on Field Programmable Logic and Applications (FPL’14). IEEE, Los Alamitos, CA, 1–8.
[141]
Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Karthik Gururaj, and Glenn Reinman. 2014. Accelerator-rich architectures: Opportunities and progresses. In Proceedings of the 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC’14). IEEE, Los Alamitos, CA, 1–6.
[142]
Oliver Arnold, Sebastian Haas, Gerhard Fettweis, Benjamin Schlegel, Thomas Kissinger, and Wolfgang Lehner. 2014. An application-specific instruction set for accelerating set-oriented database primitives. In Proceedings of the 2014 ACM SIGMOD International Conference on Management of Data. 767–778.
[143]
Lisa Wu, Andrea Lottarini, Timothy K. Paine, Martha A. Kim, and Kenneth A. Ross. 2014. Q100: The architecture and design of a database processing unit. ACM SIGARCH Computer Architecture News 42, 1 (2014), 255–268.
[144]
Lisa Wu, Andrea Lottarini, Timothy K. Paine, Martha A. Kim, and Kenneth A. Ross. 2015. The Q100 database processing unit. IEEE Micro 35, 3 (2015), 34–46.
[145]
Annett Ungethüm, Dirk Habich, Tomas Karnagel, Wolfgang Lehner, Nils Asmussen, Marcus Völp, Benedikt Nöthen, and Gerhard Fettweis. 2015. Query processing on low-energy many-core processors. In Proceedings of the 2015 31st IEEE International Conference on Data Engineering Workshops. IEEE, Los Alamitos, CA, 155–160.
[146]
Evangelia A. Sitaridi and Kenneth A. Ross. 2016. GPU-accelerated string matching for database applications. VLDB Journal 25, 5 (2016), 719–740.
[147]
Sebastian Haas, Oliver Arnold, Benedikt Nöthen, Stefan Scholze, Georg Ellguth, Andreas Dixius, Sebastian Höppner, et al. 2016. An MPSoC for energy-efficient database query processing. In Proceedings of the 53rd Annual Design Automation Conference. 1–6.
[148]
Sebastian Haas, Stefan Scholze, Sebastian Höppner, Annett Ungethüm, Christian Mayr, René Schüffny, Wolfgang Lehner, and Gerhard Fettweis. 2017. Application-specific architectures for energy-efficient database query processing and optimization. Microprocessors and Microsystems 55 (2017), 119–130.
[149]
Sebastian Haas and Gerhard P. Fettweis. 2017. Energy-efficient hash join implementations in hardware-accelerated MPSoCs. In Proceedings of the International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures (ADMS@VLDB’17). 26–33.
[150]
Behzad Salami, Gorker Alp Malazgirt, Oriol Arcas-Abella, Arda Yurdakul, and Nehir Sonmez. 2017. AxleDB: A novel programmable query processing platform on FPGA. Microprocessors and Microsystems 51 (2017), 142–164.
[151]
Cagri Balkesen, Nitin Kunal, Georgios Giannikis, Pit Fender, Seema Sundara, Felix Schmidt, Jarod Wen, et al. 2018. Rapid: In-memory analytical query processing engine with extreme performance per watt. In Proceedings of the 2018 International Conference on Management of Data. 1407–1419.
[152]
Xuan-Thuan Nguyen, Trong-Thuc Hoang, Hong-Thu Nguyen, Katsumi Inoue, and Cong-Kha Pham. 2018. An FPGA-based hardware accelerator for energy-efficient bitmap index creation. IEEE Access 6 (2018), 16046–16059.
[153]
Xuan Sun, Chun Jason Xue, Jinghuan Yu, Tei-Wei Kuo, and Xue Liu. 2021. Accelerating data filtering for database using FPGA. Journal of Systems Architecture 114 (2021), 101908.
[154]
Mehdi Moghaddamfar, Christian Färber, Wolfgang Lehner, Norman May, and Akash Kumar. 2021. Resource-efficient database query processing on FPGAs. In Proceedings of the 17th International Workshop on Data Management on New Hardware (DaMoN’21). 1–8.
[155]
Antara Ghosh, Jignashu Parikh, Vibhuti S. Sengar, and Jayant R. Haritsa. 2002. Plan selection based on query clustering. In Proceedings of the 28th International Conference on Very Large Databases (VLDB’02). 179–190.
[156]
Vibhuti S. Sengar and Jayant R. Haritsa. 2003. PLASTIC: Reducing query optimization overheads through plan recycling. In Proceedings of the 2003 ACM SIGMOD International Conference on Management of Data. 676–676.
[157]
Parag Sarda and Jayant R. Haritsa. 2004. Green query optimization: Taming query optimization overheads through plan recycling. In Proceedings of the 30th International Conference on Very Large Data Bases—Volume 30. 1333–1336.
[158]
Cesar Galindo-Legaria and Florian Waas. 2005. Automatic detection of frequently used query patterns in a query workload. US Patent App. 10/873,529.
[159]
Guofang Nan and Minqiang Li. 2010. Energy-efficient query management scheme for a wireless sensor database system. EURASIP Journal on Wireless Communications and Networking 2010 (2010), 1–18.
[160]
Robert Joseph Bestgen, Wei Hu, Shantan Kethireddy, Andrew Peter Passe, and Ulrich Thiemann. 2011. Aggregating database queries. US Patent 7,958,158.
[161]
Timos K. Sellis. 1988. Multiple-query optimization. ACM Transactions on Database Systems 13, 1 (1988), 23–52.
[162]
Tansel Dokeroglu, Serkan Ozal, Murat Ali Bayir, Muhammet Serkan Cinar, and Ahmet Cosar. 2014. Improving the performance of Hadoop hive by sharing scan and computation tasks. Journal of Cloud Computing 3, 1 (2014), 1–11.
[163]
Tansel Dokeroglu, Murat Ali Bayir, and Ahmet Cosar. 2015. Robust heuristic algorithms for exploiting the common tasks of relational cloud database queries. Applied Soft Computing 30 (2015), 72–82.
[164]
Kyoung-Don Kang. 2016. Reducing deadline misses and power consumption in real-time databases. In Proceedings of the 2016 IEEE Real-Time Systems Symposium (RTSS’16). IEEE, Los Alamitos, CA, 257–268.
[165]
Kyoung-Don Kang. 2018. Enhancing timeliness and saving power in real-time databases. Real-Time Systems 54, 2 (2018), 484–513.
[166]
Adrian M. Caulfield, Laura M. Grupp, and Steven Swanson. 2009. Gordon: Using flash memory to build fast, power-efficient clusters for data-intensive applications. ACM SIGPLAN Notices 44, 3 (2009), 217–228.
[167]
Alexander S. Szalay, Gordon C. Bell, H. Howie Huang, Andreas Terzis, and Alainna White. 2010. Low-power Amdahl-balanced blades for data intensive computing. ACM SIGOPS Operating Systems Review 44, 1 (2010), 71–75.
[168]
David G. Andersen, Jason Franklin, Michael Kaminsky, Amar Phanishayee, Lawrence Tan, and Vijay Vasudevan. 2009. FAWN: A fast array of wimpy nodes. In Proceedings of the ACM SIGOPS 22nd Symposium on Operating Systems Principles. 1–14.
[169]
Willis Lang, Jignesh M. Patel, and Srinath Shankar. 2010. Wimpy node clusters: What about non-wimpy workloads? In Proceedings of the 6th International Workshop on Data Management on New Hardware. 47–55.
[170]
Urs Hölzle. 2010. Brawny cores still beat wimpy cores, most of the time. IEEE Micro 30, 4 (2010), 1–2.
[171]
Vijay Vasudevan, David Andersen, Michael Kaminsky, Lawrence Tan, Jason Franklin, and Iulian Moraru. 2010. Energy-efficient cluster computing with FAWN: Workloads and implications. In Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking. 195–204.
[172]
Daniel Schall and Theo Härder. 2014. Energy and performance—Can a wimpy-node cluster challenge a brawny server?arXiv preprint arXiv:1407.0386 (2014).
[173]
Dumitrel Loghin, Bogdan Marius Tudor, Hao Zhang, Beng Chin Ooi, and Yong Meng Teo. 2015. A performance study of big data on small nodes. Proceedings of the VLDB Endowment 8, 7 (2015), 762–773.
[174]
Tobias Mühlbauer, Wolf Rödiger, Robert Seilbeck, Angelika Reiser, Alfons Kemper, and Thomas Neumann. 2014. One DBMS for all: The brawny few and the wimpy crowd. In Proceedings of the 2014 ACM SIGMOD International Conference on Management of Data. 697–700.
[175]
Thomas Neumann. 2011. Efficiently compiling efficient query plans for modern hardware. Proceedings of the VLDB Endowment 4, 9 (2011), 539–550.
[176]
Utku Sirin, Raja Appuswamy, and Anastasia Ailamaki. 2016. OLTP on a server-grade ARM: Power, throughput and latency comparison. In Proceedings of the 12th International Workshop on Data Management on New Hardware. 1–7.
[177]
Zsolt István, David Sidler, and Gustavo Alonso. 2017. Caribou: Intelligent distributed storage. Proceedings of the VLDB Endowment 10, 11 (2017), 1202–1213.
[178]
Abdurrashid Ibrahim Sanka, Mehdi Hasan Chowdhury, and Ray C. C. Cheung. 2021. Efficient high-performance FPGA-Redis hybrid NoSQL caching system for blockchain scalability. Computer Communications 169 (2021), 81–91.
[179]
Xiaorui Wang and Ming Chen. 2008. Cluster-level feedback power control for performance optimization. In Proceedings of the 2008 IEEE 14th International Symposium on High Performance Computer Architecture. IEEE, Los Alamitos, CA, 101–110.
[180]
Dejene Boru, Dzmitry Kliazovich, Fabrizio Granelli, Pascal Bouvry, and Albert Y. Zomaya. 2015. Energy-efficient data replication in cloud computing datacenters. Cluster Computing 18, 1 (2015), 385–402.
[181]
Einollah Jafarnejad Ghomi, Amir Masoud Rahmani, and Nooruldeen Nasih Qader. 2017. Load-balancing algorithms in cloud computing: A survey. Journal of Network and Computer Applications 88 (2017), 50–71.
[182]
Tilmann Rabl and Hans-Arno Jacobsen. 2017. Query centric partitioning and allocation for partially replicated database systems. In Proceedings of the 2017 ACM International Conference on Management of Data. 315–330.
[183]
Willis Lang, Jignesh M. Patel, and Jeffrey F. Naughton. 2010. On energy management, load balancing and replication. ACM SIGMOD Record 38, 4 (2010), 35–42.
[184]
Hui-I. Hsiao and David J. DeWitt. 1989. Chained Declustering: A New Availability Strategy for Multiprocessor Database Machines. Technical Report. Department of Computer Sciences, University of Wisconsin–Madison.
[185]
Lizhe Wang, Gregor Von Laszewski, Jay Dayal, and Fugang Wang. 2010. Towards energy aware scheduling for precedence constrained parallel tasks in a cluster with DVFS. In Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud, and Grid Computing. IEEE, Los Alamitos, CA, 368–377.
[186]
Daniel Schall and Volker Hudlet. 2011. WattDB: An energy-proportional cluster of wimpy nodes. In Proceedings of the 2011 ACM SIGMOD International Conference on Management of Data. 1229–1232.
[187]
Daniel Schall and Theo Härder. 2013. Energy-proportional query execution using a cluster of wimpy nodes. In Proceedings of the 9th International Workshop on Data Management on New Hardware. 1–6.
[188]
Daniel Schall and Theo Härder. 2014. Approximating an energy-proportional DBMs by a dynamic cluster of nodes. In Proceedings of the International Conference on Database Systems for Advanced Applications. 297–311.
[189]
Daniel Schall and Theo Härder. 2015. Dynamic physiological partitioning on a shared-nothing database cluster. In Proceedings of the 2015 IEEE 31st International Conference on Data Engineering. IEEE, Los Alamitos, CA, 1095–1106.
[190]
Pınar Tözün, Ippokratis Pandis, Ryan Johnson, and Anastasia Ailamaki. 2013. Scalable and dynamically balanced shared-everything OLTP with physiological partitioning. VLDB Journal 22, 2 (2013), 151–175.
[191]
Jiazhuang Xie. 2015. Research on Energy-Saving Query Processing Technologies for Database Clusters. Master’s Thesis. University of Science and Technology of China.
[192]
Kai Zhang, Kaibo Wang, Yuan Yuan, Lei Guo, Rubao Li, Xiaodong Zhang, Bingsheng He, Jiayu Hu, and Bei Hua. 2017. A distributed in-memory key-value store system on heterogeneous CPU–GPU cluster. VLDB Journal 26, 5 (2017), 729–750.
[193]
Karsten Molka and Giuliano Casale. 2017. Energy-efficient resource allocation and provisioning for in-memory database clusters. In Proceedings of the 2017 IFIP/IEEE Symposium on Integrated Network and Service Management (IM’17). IEEE, Los Alamitos, CA, 19–27.
[194]
David S. Johnson. 1973. Near-Optimal Bin Packing Algorithms. Ph. D. Dissertation. Massachusetts Institute of Technology, Cambridge, MA.
[195]
Hao Zhang, Gang Chen, Beng Chin Ooi, Kian-Lee Tan, and Meihui Zhang. 2015. In-memory big data management and processing: A survey. IEEE Transactions on Knowledge and Data Engineering 27, 7 (2015), 1920–1948.
[196]
Emiliano Casalicchio, Lars Lundberg, and Sogand Shirinbab. 2016. Energy-aware adaptation in managed Cassandra datacenters. In Proceedings of the 2016 International Conference on Cloud and Autonomic Computing (ICCAC’16). IEEE, Los Alamitos, CA, 60–71.
[197]
Emiliano Casalicchio, Lars Lundberg, and Sogand Shirinbab. 2017. Energy-aware auto-scaling algorithms for Cassandra virtual data centers. Cluster Computing 20, 3 (2017), 2065–2082.
[198]
Federico Lombardi, Andrea Muti, Leonardo Aniello, Roberto Baldoni, Silvia Bonomi, and Leonardo Querzoni. 2019. Pascal: An architecture for proactive auto-scaling of distributed services. Future Generation Computer Systems 98 (2019), 342–361.
[199]
Yi Zhou, Shubbhi Taneja, Chaowei Zhang, and Xiao Qin. 2018. GreenDB: Energy-efficient prefetching and caching in database clusters. IEEE Transactions on Parallel and Distributed Systems 30, 5 (2018), 1091–1104.
[200]
Yi Zhou, Shubbhi Taneja, Mohammed Alghamdi, and Xiao Qin. 2018. Improving energy efficiency of database clusters through prefetching and caching. In Proceedings of the 2018 18th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGRID’18). IEEE, Los Alamitos, CA, 388–391.
[201]
Jeff LeFevre. 2014. Physical Design Tuning Methods for Emerging System Architectures. University of California, Santa Cruz.
[202]
Amine Roukh, Ladjel Bellatreche, Ahcène Boukorca, and Selma Bouarar. 2015. Eco-DMW: Eco-design methodology for data warehouses. In Proceedings of the ACM 18th International Workshop on Data Warehousing and OLAP. 1–10.
[203]
Amine Roukh, Ladjel Bellatreche, Selma Bouarar, and Ahcene Boukorca. 2017. Eco-Physic: Eco-physical design initiative for very large databases. Information Systems 68 (2017), 44–63.
[204]
Luiz André Barroso and Urs Hölzle. 2009. The datacenter as a computer: An introduction to the design of warehouse-scale machines. Synthesis Lectures on Computer Architecture 4, 1 (2009), 1–108.
[205]
Malcolm Ware, Karthick Rajamani, Michael Floyd, Bishop Brock, Juan C. Rubio, Freeman Rawson, and John B. Carter. 2010. Architecting for power management: The IBM® POWER7™ approach. In Proceedings of the 2010 16th International Symposium on High-Performance Computer Architecture (HPCA’10). IEEE, Los Alamitos, CA, 1–11.
[206]
Michael Stonebraker. 2010. SQL databases v. NoSQL databases. Communications of the ACM 53, 4 (2010), 10–11.
[207]
Balaji Subramaniam and Wu-Chun Feng. 2014. On the energy proportionality of distributed NoSQL data stores. In Proceedings of the International Workshop on Performance Modeling, Benchmarking, and Simulation of High Performance Computer Systems. 264–274.
[208]
Tiantian Li, Ge Yu, Xuebing Liu, and Jie Song. 2014. Analyzing the waiting energy consumption of NoSQL databases. In Proceedings of the 2014 IEEE 12th International Conference on Dependable, Autonomic, and Secure Computing. IEEE, Los Alamitos, CA, 277–282.
[209]
Divya Mahajan, Cody Blakeney, and Ziliang Zong. 2019. Improving the energy efficiency of relational and NoSQL databases via query optimizations. Sustainable Computing: Informatics and Systems 22 (2019), 120–133.
[210]
Abdullah Gharaibeh, Elizeu Santos-Neto, Lauro Beltrão Costa, and Matei Ripeanu. 2013. The energy case for graph processing on hybrid CPU and GPU systems. In Proceedings of the 3rd Workshop on Irregular Applications: Architectures and Algorithms. 1–8.
[211]
S. M. Faisal, Georgios Tziantzioulis, A. M. Gok, Nikolaos Hardavellas, S. Ogrenci-Memik, and Srinivasan Parthasarathy. 2015. Edge importance identification for energy efficient graph processing. In Proceedings of the 2015 IEEE International Conference on Big Data (Big Data’15). IEEE, Los Alamitos, CA, 347–354.
[212]
Tae Jun Ham, Lisa Wu, Narayanan Sundaram, Nadathur Satish, and Margaret Martonosi. 2016. Graphicionado: A high-performance and energy-efficient accelerator for graph analytics. In Proceedings of the 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’16). IEEE, Los Alamitos, CA, 1–13.
[213]
Shijie Zhou, Charalampos Chelmis, and Viktor K. Prasanna. 2016. High-throughput and energy-efficient graph processing on FPGA. In Proceedings of the 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM’16). IEEE, Los Alamitos, CA, 103–110.
[214]
Jinhong Zhou, Shaoli Liu, Qi Guo, Xuda Zhou, Tian Zhi, Daofu Liu, Chao Wang, Xuehai Zhou, Yunji Chen, and Tianshi Chen. 2017. Tunao: A high-performance and energy-efficient reconfigurable accelerator for graph processing. In Proceedings of the 2017 17th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing (CCGRID’17). IEEE, Los Alamitos, CA, 731–734.
[215]
Lin Ma, Dana Van Aken, Ahmed Hefny, Gustavo Mezerhane, Andrew Pavlo, and Geoffrey J. Gordon. 2018. Query-based workload forecasting for self-driving database management systems. In Proceedings of the 2018 International Conference on Management of Data. 631–645.
[216]
Rathijit Sen and Karthik Ramachandra. 2018. Characterizing resource sensitivity of database workloads. In Proceedings of the 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA’18). IEEE, Los Alamitos, CA, 657–669.
[217]
Flavio Bonomi, Rodolfo Milito, Jiang Zhu, and Sateesh Addepalli. 2012. Fog computing and its role in the Internet of Things. In Proceedings of the 1st Edition of the MCC Workshop on Mobile Cloud Computing. 13–16.
[218]
Jian Liu, Kefei Wang, and Feng Chen. 2021. Understanding energy efficiency of databases on single board computers for edge computing. In Proceedings of the 2021 29th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS’21). IEEE, Los Alamitos, CA, 1–8.
[219]
Yaser Mansouri, Victor Prokhorenko, Faheem Ullah, and M. Ali Babar. 2021. Evaluation of distributed databases in hybrid clouds and edge computing: Energy, bandwidth, and storage consumption. arXiv preprint arXiv:2109.07260 (2021).

Cited By

View all
  • (2024)Energy consumption estimation and profiling for queries in distributed database systems based on a bottom-up comprehensive energy modelFuture Generation Computer Systems10.1016/j.future.2024.04.059159:C(379-394)Online publication date: 1-Oct-2024
  • (2024)Energy Measurement System for Data Lake: An Initial ApproachIntelligent Information and Database Systems10.1007/978-981-97-4982-9_2(15-27)Online publication date: 15-Apr-2024
  • (2024)MultiMatch: Low-Resource Generalized Entity Matching Using Task-Conditioned Hyperadapters in Multitask LearningBig Data Analytics and Knowledge Discovery10.1007/978-3-031-68323-7_4(51-65)Online publication date: 26-Aug-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Computing Surveys
ACM Computing Surveys  Volume 55, Issue 6
June 2023
781 pages
ISSN:0360-0300
EISSN:1557-7341
DOI:10.1145/3567471
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 December 2022
Online AM: 14 June 2022
Accepted: 06 April 2022
Revised: 30 March 2022
Received: 20 April 2019
Published in CSUR Volume 55, Issue 6

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Green computing
  2. database systems
  3. energy consumption modeling
  4. energy management
  5. energy efficiency
  6. energy proportionality

Qualifiers

  • Survey
  • Refereed

Funding Sources

  • Scientific Research Project of the Education Department of Hubei Province

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)512
  • Downloads (Last 6 weeks)69
Reflects downloads up to 05 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Energy consumption estimation and profiling for queries in distributed database systems based on a bottom-up comprehensive energy modelFuture Generation Computer Systems10.1016/j.future.2024.04.059159:C(379-394)Online publication date: 1-Oct-2024
  • (2024)Energy Measurement System for Data Lake: An Initial ApproachIntelligent Information and Database Systems10.1007/978-981-97-4982-9_2(15-27)Online publication date: 15-Apr-2024
  • (2024)MultiMatch: Low-Resource Generalized Entity Matching Using Task-Conditioned Hyperadapters in Multitask LearningBig Data Analytics and Knowledge Discovery10.1007/978-3-031-68323-7_4(51-65)Online publication date: 26-Aug-2024
  • (2023)Energy-Aware Query Processing: A Case Study on Join Reordering2023 IEEE International Conference on Big Data (BigData)10.1109/BigData59044.2023.10386332(3743-3752)Online publication date: 15-Dec-2023
  • (2023)How to estimate carbon footprint when training deep learning models? A guide and reviewEnvironmental Research Communications10.1088/2515-7620/acf81b5:11(115014)Online publication date: 21-Nov-2023
  • (2022)The Impact of Multicore CPUs on Eco-Friendly Query Processors in Big Data Warehouses2022 IEEE International Conference on Big Data (Big Data)10.1109/BigData55660.2022.10020703(4463-4472)Online publication date: 17-Dec-2022

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Full Text

View this article in Full Text.

Full Text

HTML Format

View this article in HTML Format.

HTML Format

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media