skip to main content
10.1145/3538643.3539742acmconferencesArticle/Chapter ViewAbstractPublication PageshotstorageConference Proceedingsconference-collections
research-article

PiF: in-flash acceleration for data-intensive applications

Published: 27 June 2022 Publication History

Abstract

To minimize unnecessary data movements from storage to a host, processing-in-storage (PiS) techniques, which move a compute unit to storage, have been proposed. In this position paper, we propose an extreme version of PiS solutions, called a processing-in-flash (PiF) scheme, that moves computation inside flash chips where data are physically present. As a key building block of a PiF solution, we present a novel flash chip architecture, CoX. Using a prototype PiF SSD based on CoX chips, we demonstrate that PiF-based SSDs are promising in accelerating data-intensive applications.

References

[1]
Vikram Sharma Mailthody, Zaid Qureshi, Weixin Liang, Ziyan Feng, Simon Garcia de Gonzalo, Youjie Li, et al. DeepStore: In-Storage Acceleration for Intelligent Queries. In the IEEE/ACM International Symposium on Microarchitecture (MICRO), 2019.
[2]
Fedor Borisyuk, Albert Gordo, and Viswanath Sivakumar. Rosetta: Large scale system for text detection and recognition in images. In the ACM SIGKDD International Conference on Knowledge Discovery & Data Mining (KDD), 2018.
[3]
Dayong Wang, Charles Otto, and Anil K Jain. Face search at scale: 80 million gallery. arXiv preprint arXiv:1507.07242, 2015.
[4]
Louis Woods, Zsolt István, and Gustavo Alonso. Ibex: An Intelligent Storage Engine with Support for Advanced SQL Offloading. VLDB Endowment, 7(11), 2014.
[5]
Zhenyuan Ruan, Tong He, and Jason Cong. INSIDER: Designing in-Storage Computing System for Emerging High-Performance Drive. In the USENIX Annual Technical Conference (ATC), 2019.
[6]
Yanqin Jin, Hung-Wei Tseng, Yannis Papakonstantinou, and Steven Swanson. KAML: A Flexible, High-Performance Key-Value SSD. In the IEEE International Symposium on High Performance Computer Architecture (HPCA), 2017.
[7]
Jaeyoung Do, Yang-Suk Kee, Jignesh M. Patel, Chanik Park, Kwanghyun Park, and David J. DeWitt. Query Processing on Smart SSDs: Opportunities and Challenges. In the ACM SIGMOD International Conference on Management of Data (SIGMOD), 2013.
[8]
Joo Hwan Lee, Hui Zhang, Veronica Lagrange, Praveen Krishnamoorthy, Xiaodong Zhao, and Yang Seok Ki. SmartSSD: FPGA Accelerated Near-Storage Data Analytics on SSD. IEEE Computer Architecture Letters, 19(2), 2020.
[9]
Gunjae Koo, Kiran Kumar Matam, Te I., H.V. Krishna Giri Narra, Jing Li, Hung-Wei Tseng, Steven Swanson, and Murali Annavaram. Summarizer: Trading Communication with Computing Near Storage. In the IEEE/ACM International Symposium on Microarchitecture (MICRO), 2017.
[10]
Sang-Woo Jun, Andy Wright, Sizhuo Zhang, Shuotao Xu, and Arvind. GraFboost: Using Accelerated Flash Storage for External Graph Analytics. In the International Symposium on Computer Architecture (ISCA), 2018.
[11]
Mahdi Torabzadehkashi, Siavash Rezaei, Ali Heydarigorji, Hosein Bobarshad, Vladimir Alves, and Nader Bagherzadeh. GraFboost: Using Accelerated Flash Storage for External Graph Analytics. In the Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 2019.
[12]
Jae-Woo Park, Doogon Kim, Sunghwa Ok, Jaebeom Park, Taeheui Kwon, Hyunsoo Lee, et al. 30.1 A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm2 Density with a Peripheral Circuit Under Cell Array Architecture. In the IEEE International Solid-State Circuits Conference (ISSCC), 2021.
[13]
Candace Suh-Lee, Ju-Yeon Jo, and Yoohwan Kim. Text mining for security threat detection discovering hidden information in unstructured log messages. In the IEEE Conference on Communications and Network Security (CNS), 2016.
[14]
Seongyoung Kang, Jiyoung An, Jinpyo Kim, and Sang-Woo Jun. MithriLog: Near-Storage Accelerator for High-Performance Log Analytics. In the IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021.
[15]
Boncheol Gu, Andre S Yoon, Duck-Ho Bae, Insoon Jo, et al. Biscuit: A framework for near-data processing of big data workloads. ACM SIGARCH Computer Architecture News, 44(3), 2016.
[16]
Yangwook Kang, Yang-suk Kee, Ethan L Miller, and Chanik Park. Enabling cost-effective data processing with smart SSD. In the IEEE Symposium on Mass Storage Systems and Technologies (MSST), 2013.
[17]
Jian Ouyang, Shiding Lin, Song Jiang, Zhenyu Hou, et al. SDF: Software-defined flash for web-scale internet storage systems. In the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2014.
[18]
Carlos HC Teixeira, Alexandre J Fonseca, Marco Serafini, Georgos Siganos, et al. Arabesque: a system for distributed graph mining. In the Symposium on Operating Systems Principles (SOSP), 2015.
[19]
Anand Padmanabha Iyer, Zaoxing Liu, Xin Jin, Shivaram Venkataraman, et al. ASAP: Fast, approximate graph pattern mining at scale. In the USENIX Symposium on Operating Systems Design and Implementation (OSDI), 2018.
[20]
Khoa Le, Fakhreddine Ghaffari, David Declercq, and Bane Vasić. Efficient hardware implementation of probabilistic gradient descent bit-flipping. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(4):906--917, 2017.
[21]
Thien T. Nguyen-Ly, Tushar Gupta, Manuel Pezzin, Valentin Savin, et al. Flexible, cost-efficient, high-throughput architecture for layered ldpc decoders with fully-parallel processing units. In 2016 Euromicro Conference on Digital System Design (DSD), pages 230--237, 2016.
[22]
Peter Boncz, Thomas Neumann, and Orri Erling. TPC-H analyzed: Hidden messages and lessons learned from an influential benchmark. In the Technology Conference on Performance Evaluation and Benchmarking (TPCTC), 2013.
[23]
Lin Tan and T. Sherwood. A high throughput string matching architecture for intrusion detection and prevention. In the International Symposium on Computer Architecture (ISCA), 2005.
[24]
ONFI. Open NAND Flash Interface Specification 4.1. http://www.onfi.org/, 2017.
[25]
Tutu Ajayi, Vidya A. Chhabria, Mateus Fogaça, Soheil Hashemi, et al. INVITED: Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project. In the ACM/IEEE Design Automation Conference (DAC), 2019.
[26]
Matthew R. Guthaus, James E. Stine, Samira Ataei, Brian Chen, et al. OpenRAM: An open-source memory compiler. In the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2016.
[27]
Ki Chang Chun, Hee Joung Park, Tae Seung Shin, and Sung Lae Oh. Memory device including page buffer and method of arranging page buffer having cache latches, 2018. US Patent 9,965,388.
[28]
Jaewook Kwak, Sangjin Lee, Kibin Park, Jinwoo Jeong, and Yong Ho Song. Cosmos+ OpenSSD: Rapid Prototype for Flash Storage Systems. ACM Transactions on Storage, 16(3), 2020.
[29]
Dongku Kang, Minsu Kim, Su Chang Jeon, Wontaeck Jung, et al. 13.4 A 512Gb 3-bit/Cell 3D 6th-Generation V-NAND Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface. In the IEEE International Solid-State Circuits Conference (ISSCC), 2019.
[30]
Micron NAND System Power Calculator. https://www.micron.com/support/tools-and-utilities/nand-system-power-calculator.
[31]
Congming Gao, Xin Xin, Youyou Lu, Youtao Zhang, et al. ParaBit: Processing Parallel Bitwise Operations in NAND Flash Memory based SSDs. In the IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021.

Cited By

View all
  • (2024)Search-in-Memory: Reliable, Versatile, and Efficient Data Matching in SSD’s NAND Flash Memory Chip for Data Indexing AccelerationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.344370243:11(3864-3875)Online publication date: Nov-2024
  • (2024)RiF: Improving Read Performance of Modern SSDs Using an On-Die Early-Retry Engine2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00056(643-656)Online publication date: 2-Mar-2024
  • (2024)BeaconGNN: Large-Scale GNN Acceleration with Out-of-Order Streaming In-Storage Computing2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00033(330-344)Online publication date: 2-Mar-2024
  • Show More Cited By

Index Terms

  1. PiF: in-flash acceleration for data-intensive applications
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      HotStorage '22: Proceedings of the 14th ACM Workshop on Hot Topics in Storage and File Systems
      June 2022
      141 pages
      ISBN:9781450393997
      DOI:10.1145/3538643
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      In-Cooperation

      • USENIX Assoc: USENIX Assoc

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 27 June 2022

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Research-article

      Funding Sources

      • National Research Foundation of Korea (NRF) by the Korea government (MSIT)
      • Samsung Research Funding & Incubation Center of Samsung Electronics, Republic of Korea

      Conference

      HotStorage '22
      Sponsor:

      Acceptance Rates

      HotStorage '22 Paper Acceptance Rate 19 of 47 submissions, 40%;
      Overall Acceptance Rate 34 of 87 submissions, 39%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)86
      • Downloads (Last 6 weeks)9
      Reflects downloads up to 14 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Search-in-Memory: Reliable, Versatile, and Efficient Data Matching in SSD’s NAND Flash Memory Chip for Data Indexing AccelerationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.344370243:11(3864-3875)Online publication date: Nov-2024
      • (2024)RiF: Improving Read Performance of Modern SSDs Using an On-Die Early-Retry Engine2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00056(643-656)Online publication date: 2-Mar-2024
      • (2024)BeaconGNN: Large-Scale GNN Acceleration with Out-of-Order Streaming In-Storage Computing2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00033(330-344)Online publication date: 2-Mar-2024
      • (2023)ZenFS+: Nurturing Performance and Isolation to ZenFSIEEE Access10.1109/ACCESS.2023.325735411(26344-26357)Online publication date: 2023

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media