skip to main content
10.1145/3566097.3567842acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Automated Equivalence Checking Method for Majority Based In-Memory Computing on ReRAM Crossbars

Authors Info & Claims
Published:31 January 2023Publication History

ABSTRACT

Recent progress in the fabrication of Resistive Random Access Memory (ReRAM) devices has paved the way for large scale crossbar structures. In particular, in-memory computing on ReRAM crossbars helps in bridging the processor-memory speed gap for current CMOS technology. To this end, synthesis and mapping of Boolean functions to such crossbars have been investigated by researchers. However the verification of simple designs on crossbar is still done through manual inspection or sometimes complemented by simulation based techniques. Clearly this is an important problem as real world designs are complex and have higher number of inputs. As a result manual inspection and simulation based methods for these designs are not practical.

In this paper for the first time as per our knowledge we propose an automated equivalence checking methodology for majority based in-memory designs on ReRAM crossbars. Our contributions are twofold: first, we introduce an intermediate data structure called ReRAM Sequence Graph (ReSG) to represent the logic-in-memory design. This in turn is translated into Boolean Satifiability (SAT) formulas. These SAT formulas are verified against the golden functional specification using Z3 Satifiability Modulo Theory (SMT) solver. We validate the proposed method by running widely available benchmarks.

References

  1. C. Albrecht. 2005. IWLS 2005 Benchmarks. Technical Report.Google ScholarGoogle Scholar
  2. L. Amarú, P.-E. Gaillardon, and G. De Micheli. 2014. Majority-Inverter Graph: A novel data-structure and algorithms for efficient logic optimization. In 51st ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.Google ScholarGoogle Scholar
  3. J. Borghetti et al. 2010. Memristive Switches Enable Stateful Logic Operations via Material Implication. Nature 464 (2010), 873--876.Google ScholarGoogle ScholarCross RefCross Ref
  4. S. Chakraborti, P.V. Chowdhary, K. Datta, and I. Sengupta. 2014. BDD based Synthesis of Boolean Functions using Memristors. In Proc. Intl. Design and Test Symp. (IDT). 136--141.Google ScholarGoogle Scholar
  5. L. Chua. 1971. Memristor - The Missing Circuit Element. IEEE Trans. on Circuit Theory CT-18, 5 (1971), 507--519.Google ScholarGoogle ScholarCross RefCross Ref
  6. S. Froehlich and R. Drechsler. 2022. Generation of Verified Programs for In-Memory Computing. In Digital System Design (DSD-2022) (Accepted).Google ScholarGoogle Scholar
  7. P.-E. Gaillardon, L. Amarú, A. Siemon, E. Linn, R. Waser, A. Chattopadhyay, and G. De Micheli. 2016. The Programmable Logic-in-Memory (PLiM) computer. In 2016 Design, Automation Test in Europe Conference Exhibition (DATE). 427--432.Google ScholarGoogle Scholar
  8. M.C. Hansen, H. Yalcin, and J.P. Hayes. 1999. Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering. IEEE Design Test of Computers 16, 3 (1999), 72--80.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E. G. Friedman, A. Kolodny, and U. C. Weiser. 2014. MAGIC---Memristor-aided logic. IEEE Transactions on Circuits and Systems II: Express Briefs 61, 11 (2014), 895--899.Google ScholarGoogle ScholarCross RefCross Ref
  10. L. de Moura and N. Bjørner. 2008. Z3: An efficient SMT solver. In International conference on Tools and Algorithms for the Construction and Analysis of Systems. Springer, 337--340.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Shirinzadeh, M. Soeken, P.-E. Gaillardon, and R. Drechsler. 2016. Fast logic synthesis for RRAM-based in-memory computing using Majority-Inverter Graphs. In 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE). 948--953.Google ScholarGoogle Scholar
  12. D.B. Strukov, G.S.Snider, D.R. Stewart, and R.S. Williams. 2008. The Missing Memristor Found. Nature 453 (2008), 80--83.Google ScholarGoogle ScholarCross RefCross Ref
  13. N. Talati, S. D. Gupta, P. S. Mane, and S. Kvatinsky. 2016. Logic Design Within Memristive Memories Using Memristor-Aided loGIC (MAGIC). IEEE Trans. on Nanotechnology 15 (2016), 635--650.Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. L. Thangkhiew, R. Gharpinde, and K. Datta. 2018. Efficient mapping of Boolean functions to memristor crossbar using MAGIC NOR gates. IEEE Transactions on Circuits and Systems I: Regular Papers 65, 8 (2018), 2466--2476.Google ScholarGoogle ScholarCross RefCross Ref
  15. A. Zulehner, K. Datta, I. Sengupta, and R. Wille. 2019. A Staircase Structure for Scalable and Efficient Synthesis of Memristor-Aided Logic. In Asia and South Pacific Design Automation Conference. 237--242.Google ScholarGoogle Scholar

Index Terms

  1. Automated Equivalence Checking Method for Majority Based In-Memory Computing on ReRAM Crossbars
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ASPDAC '23: Proceedings of the 28th Asia and South Pacific Design Automation Conference
            January 2023
            807 pages
            ISBN:9781450397834
            DOI:10.1145/3566097

            Copyright © 2023 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 31 January 2023

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            ASPDAC '23 Paper Acceptance Rate102of328submissions,31%Overall Acceptance Rate466of1,454submissions,32%

            Upcoming Conference

            ASPDAC '25

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader