skip to main content
10.1145/3579371.3589060acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections

GenDP: A Framework of Dynamic Programming Acceleration for Genome Sequencing Analysis

Authors Info & Claims
Published:17 June 2023Publication History

ABSTRACT

Genomics is playing an important role in transforming healthcare. Genetic data, however, is being produced at a rate that far outpaces Moore's Law. Many efforts have been made to accelerate genomics kernels on modern commodity hardware such as CPUs and GPUs, as well as custom accelerators (ASICs) for specific genomics kernels. While ASICs provide higher performance and energy efficiency than general-purpose hardware, they incur a high hardware design cost. Moreover, in order to extract the best performance, ASICs tend to have significantly different architectures for different kernels. The divergence of ASIC designs makes it difficult to run commonly used modern sequencing analysis pipelines due to software integration and programming challenges.

With the observation that many genomics kernels are dominated by dynamic programming (DP) algorithms, this paper presents GenDP, a framework of dynamic programming acceleration including DPAx, a DP accelerator, and DPMap, a graph partitioning algorithm that maps DP objective functions to the accelerator. DPAx supports DP kernels with various dependency patterns, such as 1D and 2D DP tables and long-range dependencies in the graph structure. DPAx also supports different DP objective functions and precisions required for genomics applications. GenDP is evaluated on genomics kernels in both short-read and long-read analysis pipelines, achieving 157.8× throughput/mm2 over GPU baselines and 132.0× throughput/mm2 over CPU baselines.

References

  1. Accelerating Bellman-Ford Single Source Shortest Path Algorithm on GPU using CUDA. https://github.com/sengorajkumar/gpu_graph_algorithms.Google ScholarGoogle Scholar
  2. Artic Network: real-time molecular epidemiology for outbreak response. https://artic.network/Google ScholarGoogle Scholar
  3. Caenorhabditis Elegans 40x Coverage Dataset, Pacific Biosciences. http://datasets.pacb.com.s3.amazonaws.com/2014/c_elegans/list.html.Google ScholarGoogle Scholar
  4. DRAMPower: Open-source DRAM Power and Energy Estimation Tool. https://github.com/tukl-msd/DRAMPower.Google ScholarGoogle Scholar
  5. A GPU-accelerated implementation of the Partial Order Alignment algorithm. https://github.com/clara-parabricks/GenomeWorks/blob/dev/cudapoa.Google ScholarGoogle Scholar
  6. Intel Ice Lake Xeon Platinum 8380 Review. https://www.tomshardware.com/news/intel-ice-lake-xeon-platinum-8380-review-10nm-debuts-for-the-data-centerGoogle ScholarGoogle Scholar
  7. National Genomic Data Initiatives Review. https://www.ga4gh.org/news/ga4gh-publishes-review-of-national-genomic-data-initiatives/Google ScholarGoogle Scholar
  8. NVIDIA Hopper GPU Architecture Accelerates Dynamic Programming Up to 40x Using New DPX Instructions. https://blogs.nvidia.com/blog/2022/03/22/nvidia-hopper-accelerates-dynamic-programming-using-dpx-instructions/Google ScholarGoogle Scholar
  9. Nauman Ahmed, Jonathan Lévy, Shanshan Ren, Hamid Mushtaq, Koen Bertels, and Zaid Al-Ars. GASAL2: a GPU accelerated sequence alignment library for high-throughput NGS data. BMC bioinformatics 20, 1 (2019), 1--20. https://link.springer.com/article/10.1186/s12859-019-3086-9Google ScholarGoogle Scholar
  10. Richard Barnes. A Review of the Smith-Waterman GPU Landscape. Electrical Engineering and Computer Sciences University of California at Berkeley. Retrieved from https://www2.eecs.berkeley.edu/Pubs/TechRpts/2020/EECS-2020-152.html (2020).Google ScholarGoogle Scholar
  11. Richard Bellman. Dynamic programming. Science 153, 3731 (1966), 34--37. Google ScholarGoogle ScholarCross RefCross Ref
  12. Donald J. Berndt and James Clifford. Using Dynamic Time Warping to Find Patterns in Time Series. In Proceedings of the 3rd International Conference on Knowledge Discovery and Data Mining (Seattle, WA) (AAAIWS'94). AAAI Press, 359--370. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Christoph Bleidorn. Third generation sequencing: technology and its potential impact on evolutionary biodiversity research. Systematics and biodiversity 14, 1 (2016), 1--8. Google ScholarGoogle ScholarCross RefCross Ref
  14. Damla Senol Cali, Gurpreet S. Kalsi, Zülal Bingöl, Can Firtina, Lavanya Sub-ramanian, Jeremie S. Kim, Rachata Ausavarungnirun, Mohammed Alser, Juan Gomez-Luna, Amirali Boroumand, Anant Norion, Allison Scibisz, Sreenivas Subramoneyon, Can Alkan, Saugata Ghose, and Onur Mutlu. GenASM: A High-Performance, Low-Power Approximate String Matching Acceleration Framework for Genome Sequence Analysis. In 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 951--966. Google ScholarGoogle ScholarCross RefCross Ref
  15. Damla Senol Cali, Konstantinos Kanellopoulos, Joël Lindegger, Zülal Bingöl, Gurpreet S. Kalsi, Ziyi Zuo, Can Firtina, Meryem Banu Cavlak, Jeremie Kim, Nika Mansouri Ghiasi, Gagandeep Singh, Juan Gómez-Luna, Nour Almadhoun Alserr, Mohammed Alser, Sreenivas Subramoney, Can Alkan, Saugata Ghose, and Onur Mutlu. SeGraM: A Universal Hardware Accelerator for Genomic Sequence-to-Graph and Sequence-to-Sequence Mapping. (2022), 638--655. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Biagioli E et al. Carneiro M, Poplin R. Enabling high throughput haplotype analysis through hardware acceleration. https://github.com/MauricioCarneiro/PairHMM/tree/master/doc.Google ScholarGoogle Scholar
  17. Kun-Mao Chao, William R Pearson, and Webb Miller. Aligning two sequences within a specified diagonal band. Bioinformatics 8, 5 (1992), 481--487. https://academic.oup.com/bioinformatics/article-abstract/8/5/481/213891Google ScholarGoogle ScholarCross RefCross Ref
  18. Peng Chen, Chao Wang, Xi Li, and Xuehai Zhou. Hardware acceleration for the banded Smith-Waterman algorithm with the cycled systolic array. In 2013 International Conference on Field-Programmable Technology (FPT). 480--481. Google ScholarGoogle ScholarCross RefCross Ref
  19. Ruei-Ting Chien, Yi-Lun Liao, Chien-An Wang, Yu-Cheng Li, and Yi-Chang Lu. Three-Dimensional Dynamic Programming Accelerator for Multiple Sequence Alignment. In 2018 IEEE Nordic Circuits and Systems Conference (NOR-CAS): NORCHIP and International Symposium of System-on-Chip (SoC). 1--5. Google ScholarGoogle ScholarCross RefCross Ref
  20. Ruei-Ting Chien, Yi-Lun Liao, Chien-An Wang, Yu-Cheng Li, and Yi-Chang Lu. Three-Dimensional Dynamic Programming Accelerator for Multiple Sequence Alignment. In 2018 IEEE Nordic Circuits and Systems Conference (NOR-CAS): NORCHIP and International Symposium of System-on-Chip (SoC). 1--5. Google ScholarGoogle ScholarCross RefCross Ref
  21. Eric Chung, Jeremy Fowers, Kalin Ovtcharov, Michael Papamichael, Adrian Caulfield, Todd Massengill, Ming Liu, Daniel Lo, Shlomi Alkalay, Michael Haselman, Maleen Abeydeera, Logan Adams, Hari Angepat, Christian Boehn, Derek Chiou, Oren Firestein, Alessandro Forin, Kang Su Gatlin, Mahdi Ghandi, Stephen Heil, Kyle Holohan, Ahmad El Husseini, Tamas Juhasz, Kara Kagi, Ratna K. Kovvuri, Sitaram Lanka, Friedel van Megen, Dima Mukhortov, Prerak Patel, Brandon Perez, Amanda Rapsang, Steven Reinhardt, Bita Rouhani, Adam Sapek, Raja Seera, Sangeetha Shekar, Balaji Sridharan, Gabriel Weisz, Lisa Woods, Phillip Yi Xiao, Dan Zhang, Ritchie Zhao, and Doug Burger. Serving DNNs in Real Time at Datacenter Scale with Project Brainwave. IEEE Micro 38, 2 (2018), 8--20. Google ScholarGoogle ScholarCross RefCross Ref
  22. Vidushi Dadu, Sihao Liu, and Tony Nowatzki. PolyGraph: Exposing the Value of Flexibility for Graph Processing Accelerators. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 595--608. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Tim Dunn, Harisankar Sadasivan, Jack Wadden, Kush Goliya, Kuan-Yu Chen, David Blaauw, Reetuparna Das, and Satish Narayanasamy. SquiggleFilter: An Accelerator for Portable Virus Detection. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture (Virtual Event, Greece) (MICRO '21). Association for Computing Machinery, New York, NY, USA, 535--549. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Daichi Fujiki, Arun Subramaniyan, Tianjun Zhang, Yu Zeng, Reetuparna Das, David Blaauw, and Satish Narayanasamy. GenAx: A Genome Sequencing Accelerator. In 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). 69--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Daichi Fujiki, Shunhao Wu, Nathan Ozog, Kush Goliya, David Blaauw, Satish Narayanasamy, and Reetuparna Das. SeedEx: A Genome Sequencing Accelerator for Optimal Alignments in Subminimal Space. In 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 937--950. Google ScholarGoogle ScholarCross RefCross Ref
  26. Hasindu Gamaarachchi, Chun Wai Lam, Gihan Jayatilaka, Hiruna Samarakoon, Jared T Simpson, Martin A Smith, and Sri Parameswaran. GPU accelerated adaptive banded event alignment for rapid comparative nanopore signal analysis. BMC bioinformatics 21 (2020), 1--13. https://link.springer.com/article/10.1186/s12859-020-03697-xGoogle ScholarGoogle Scholar
  27. Venkatraman Govindaraju, Chen-Han Ho, Tony Nowatzki, Jatin Chhugani, Nadathur Satish, Karthikeyan Sankaralingam, and Changkyu Kim. DySER: Unifying Functionality and Parallelism Specialization for Energy-Efficient Computing. IEEE Micro 32, 5 (2012), 38--51. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Licheng Guo, Jason Lau, Zhenyuan Ruan, Peng Wei, and Jason Cong. Hardware Acceleration of Long Read Pairwise Overlapping in Genome Sequencing: A Race Between FPGA and GPU. In 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 127--135. Google ScholarGoogle ScholarCross RefCross Ref
  29. Tae Jun Ham, David Bruns-Smith, Brendan Sweeney, Yejin Lee, Seong Hoon Seo, U Gyeong Song, Young H. Oh, Krste Asanovic, Jae W. Lee, and Lisa Wu Wills. Genesis: A Hardware Acceleration Framework for Genomic Data Analysis. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA). 254--267. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Tae Jun Ham, Lisa Wu, Narayanan Sundaram, Nadathur Satish, and Margaret Martonosi. Graphicionado: A high-performance and energy-efficient accelerator for graph analytics. In 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 1--13. Google ScholarGoogle ScholarCross RefCross Ref
  31. Daniel S. Hirschberg. Algorithms for the Longest Common Subsequence Problem. J. ACM 24, 4 (oct 1977), 664--675. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Lei Jiang and Farzaneh Zokaee. EXMA: A Genomics Accelerator for Exact-Matching. In 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 399--411. Google ScholarGoogle ScholarCross RefCross Ref
  33. Norman P. Jouppi, Doe Hyun Yoon, Matthew Ashcraft, Mark Gottscho, Thomas B. Jablin, George Kurian, James Laudon, Sheng Li, Peter Ma, Xiaoyu Ma, Thomas Norrie, Nishant Patil, Sushma Prasad, Cliff Young, Zongwei Zhou, and David Patterson. Ten Lessons From Three Generations Shaped Google's TPUv4i : Industrial Product. In 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). 1--14. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Saurabh Kalikar, Chirag Jain, Vasimuddin Md, and Sanchit Misra. Accelerating long-read analysis on modern CPUs. bioRxiv (2021). https://www.biorxiv.org/content/10.1101/2021.07.21.453294.abstractGoogle ScholarGoogle Scholar
  35. Saurabh Kalikar, Chirag Jain, Md Vasimuddin, and Sanchit Misra. Accelerating minimap2 for long-read sequencing applications on modern CPUs. Nature Computational Science 2, 2 (2022), 78--83. https://www.nature.com/articles/s43588-022-00201-8Google ScholarGoogle ScholarCross RefCross Ref
  36. Yoongu Kim, Weikun Yang, and Onur Mutlu. Ramulator: A Fast and Extensible DRAM Simulator. IEEE Computer Architecture Letters 15, 1 (2016), 45--49. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Donald E Kirk. Optimal control theory: an introduction. Courier Corporation.Google ScholarGoogle Scholar
  38. Mikhail Kolmogorov, Jeffrey Yuan, Yu Lin, and Pavel A Pevzner. Assembly of long, error-prone reads using repeat graphs. Nature biotechnology 37, 5 (2019), 540--546. https://www.nature.com/articles/s41587-019-0072-8Google ScholarGoogle Scholar
  39. Sergey Koren, Brian P Walenz, Konstantin Berlin, Jason R Miller, Nicholas H Bergman, and Adam M Phillippy. Canu: scalable and accurate long-read assembly via adaptive k-mer weighting and repeat separation. Genome research 27, 5 (2017), 722--736. https://genome.cshlp.org/content/27/5/722.shortGoogle ScholarGoogle Scholar
  40. Niklas Krumm, Tychele N Turner, Carl Baker, Laura Vives, Kiana Mohajeri, Kali Witherspoon, Archana Raja, Bradley P Coe, Holly A Stessman, Zong-Xiao He, et al. Excess of rare, inherited truncating mutations in autism. Nature genetics 47, 6 (2015), 582--588. https://www.nature.com/articles/ng.3303Google ScholarGoogle Scholar
  41. Chenhao Li, Kern Rei Chng, Esther Jia Hui Boey, Amanda Hui Qi Ng, Andreas Wilm, and Niranjan Nagarajan. INC-Seq: accurate single molecule reads using nanopore sequencing. GigaScience 5, 1 (08 2016). Google ScholarGoogle ScholarCross RefCross Ref
  42. Heng Li. Aligning sequence reads, clone sequences and assembly contigs with BWA-MEM. arXiv (2013). https://arxiv.org/abs/1303.3997Google ScholarGoogle Scholar
  43. Heng Li and Richard Durbin. Inference of human population history from individual whole-genome sequences. Nature 475, 7357 (2011), 493--496. https://www.nature.com/articles/nature10231Google ScholarGoogle ScholarCross RefCross Ref
  44. Yi-Lun Liao, Yu-Cheng Li, Nae-Chyun Chen, and Yi-Chang Lu. Adaptively Banded Smith-Waterman Algorithm for Long Reads and Its Hardware Accelerator. In 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP). 1--9. Google ScholarGoogle ScholarCross RefCross Ref
  45. Mao-Jan Lin, Yu-Cheng Li, and Yi-Chang Lu. Hardware Accelerator Design for Dynamic-Programming-Based Protein Sequence Alignment with Affine Gap Tracebacks. In 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS). 1--4. Google ScholarGoogle ScholarCross RefCross Ref
  46. Ruibang Luo, Chak-Lim Wong, Yat-Sing Wong, Chi-Ian Tang, Chi-Man Liu, ChiMing Leung, and Tak-Wah Lam. Exploring the limit of using a deep neural network on pileup data for germline variant calling. Nature Machine Intelligence 2, 4 (2020), 220--227. https://www.nature.com/articles/s42256-020-0167-4Google ScholarGoogle ScholarCross RefCross Ref
  47. Advait Madhavan, Timothy Sherwood, and Dmitri Strukov. Race Logic: A hardware acceleration for dynamic programming algorithms. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 517--528. Google ScholarGoogle ScholarCross RefCross Ref
  48. Santiago Marco-Sola, Juan Carlos Moure, Miquel Moreto, and Antonio Espinosa. Fast gap-affine pairwise alignment using the wavefront algorithm. Bioinformatics 37, 4 (2021), 456--463. https://academic.oup.com/bioinformatics/article-abstract/37/4/456/5904262Google ScholarGoogle ScholarCross RefCross Ref
  49. W Richard McCombie, John D McPherson, and Elaine R Mardis. Next-generation sequencing technologies. Cold Spring Harbor perspectives in medicine 9, 11 (2019), a036798. http://perspectivesinmedicine.cshlp.org/content/9/11/a036798.shortGoogle ScholarGoogle Scholar
  50. Ruth R Miller, Vincent Montoya, Jennifer L Gardy, David M Patrick, and Patrick Tang. Metagenomics for pathogen detection in public health. Genome medicine 5, 9 (2013), 1--14. https://link.springer.com/article/10.1186/gm485Google ScholarGoogle Scholar
  51. Sean Murray, Will Floyd-Jones, George Konidaris, and Daniel J. Sorin. A Programmable Architecture for Robot Motion Planning Acceleration. In 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP), Vol. 2160-052X. 185--188. Google ScholarGoogle ScholarCross RefCross Ref
  52. Eugene W Myers and Webb Miller. Optimal alignments in linear space. Bioinformatics 4, 1 (1988), 11--17. https://academic.oup.com/bioinformatics/article/4/1/11/205106Google ScholarGoogle ScholarCross RefCross Ref
  53. Tony Nowatzki, Vinay Gangadhar, Newsha Ardalani, and Karthikeyan Sankaralingam. Stream-dataflow acceleration. In 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA). 416--429. Google ScholarGoogle ScholarDigital LibraryDigital Library
  54. Sergey Nurk, Sergey Koren, Arang Rhie, Mikko Rautiainen, Andrey V Bzikadze, Alla Mikheenko, Mitchell R Vollger, Nicolas Altemose, Lev Uralsky, Ariel Gershman, et al. The complete sequence of a human genome. Science 376, 6588 (2022), 44--53. Google ScholarGoogle ScholarCross RefCross Ref
  55. Yukiteru Ono, Kiyoshi Asai, and Michiaki Hamada. PBSIM2: a simulator for long-read sequencers with a novel generative model of quality scores. Bioinformatics 37, 5 (2021), 589--595. https://academic.oup.com/bioinformatics/article-abstract/37/5/589/5911629Google ScholarGoogle ScholarCross RefCross Ref
  56. Angshuman Parashar, Michael Pellauer, Michael Adler, Bushra Ahsan, Neal Crago, Daniel Lustig, Vladimir Pavlov, Antonia Zhai, Mohit Gambhir, Aamer Jaleel, Randy Allmon, Rachid Rayess, Stephen Maresh, and Joel Emer. Triggered Instructions: A Control Paradigm for Spatially-Programmed Architectures. In Proceedings of the 40th Annual International Symposium on Computer Architecture (Tel-Aviv, Israel) (ISCA '13). Association for Computing Machinery, New York, NY, USA, 142--153. Google ScholarGoogle ScholarDigital LibraryDigital Library
  57. Francesco Peverelli, Lorenzo Di Tucci, Marco D. Santambrogio, Nan Ding, Steven Hofmeyr, Aydin Buluç, Leonid Oliker, and Katherine Yelick. GPU accelerated partial order multiple sequence alignment for long reads self-correction. In 2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW). 1--9. Google ScholarGoogle ScholarCross RefCross Ref
  58. Ryan Poplin, Valentin Ruano-Rubio, Mark A DePristo, Tim J Fennell, Mauricio O Carneiro, Geraldine A Van der Auwera, David E Kling, Laura D Gauthier, Ami Levy-Moonshine, David Roazen, et al. Scaling accurate genetic variant discovery to tens of thousands of samples. BioRxiv (2017), 201178. https://www.biorxiv.org/content/10.1101/201178.abstractGoogle ScholarGoogle Scholar
  59. Raghu Prabhakar, Yaqi Zhang, David Koeplinger, Matt Feldman, Tian Zhao, Stefan Hadjis, Ardavan Pedram, Christos Kozyrakis, and Kunle Olukotun. Plasticine: A reconfigurable architecture for parallel patterns. In 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA). 389--402. Google ScholarGoogle ScholarDigital LibraryDigital Library
  60. Joshua Quick, Nathan D Grubaugh, Steven T Pullan, Ingra M Claro, Andrew D Smith, Karthik Gangavarapu, Glenn Oliveira, Refugio Robles-Sikisaka, Thomas F Rogers, Nathan A Beutler, et al. Multiplex PCR method for MinION and Illumina sequencing of Zika and other virus genomes directly from clinical samples. Nature protocols 12, 6 (2017), 1261--1276. https://www.nature.com/articles/nprot.2017.066Google ScholarGoogle Scholar
  61. Shanshan Ren, Koen Bertels, and Zaid Al-Ars. Efficient acceleration of the pair-hmms forward algorithm for gatk haplotypecaller on graphics processing units. Evolutionary Bioinformatics 14 (2018), 1176934318760543. Google ScholarGoogle ScholarCross RefCross Ref
  62. Harisankar Sadasivan, Milos Maric, Eric Dawson, Vishanth Iyer, Johnny Israeli, and Satish Narayanasamy. Accelerating Minimap2 for accurate long read alignment on GPUs. J Biotechnol Biomed 6, 1 (2023), 13--23. Google ScholarGoogle ScholarCross RefCross Ref
  63. Harisankar Sadasivan, Daniel Stiffler, Ajay Tirumala, Johnny Israeli, and Satish Narayanasamy. GPU-accelerated Dynamic Time Warping for Selective Nanopore Sequencing. bioRxiv (2023), 2023--03.Google ScholarGoogle Scholar
  64. Bertil Schmidt and Christian Hundt. cuDTW++: Ultra-Fast Dynamic Time Warping on CUDA-Enabled GPUs. In European Conference on Parallel Processing. Springer, 597--612. https://link.springer.com/chapter/10.1007/978-3-030-57675-2_37Google ScholarGoogle Scholar
  65. James E. Smith. Decoupled Access/Execute Computer Architectures. (1982), 112--119.Google ScholarGoogle Scholar
  66. Temple F Smith, Michael S Waterman, et al. Identification of common molecular subsequences. Journal of molecular biology 147, 1 (1981), 195--197. Google ScholarGoogle ScholarCross RefCross Ref
  67. Aaron Stillmaker and Bevan Baas. Scaling equations for the accurate prediction of CMOS device performance from 180 nm to 7 nm. Integration 58 (2017), 74--81. https://www.sciencedirect.com/science/article/pii/S0167926017300755Google ScholarGoogle ScholarCross RefCross Ref
  68. Arun Subramaniyan, Yufeng Gu, Timothy Dunn, Somnath Paul, Md Vasimuddin, Sanchit Misra, David Blaauw, Satish Narayanasamy, and Reetuparna Das. GenomicsBench: A Benchmark Suite for Genomics. In 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 1--12. Google ScholarGoogle ScholarCross RefCross Ref
  69. Jesmin Jahan Tithi, Neal C. Crago, and Joel S. Emer. Exploiting spatial architectures for edit distance algorithms. In 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 23--34. Google ScholarGoogle ScholarCross RefCross Ref
  70. Yatish Turakhia, Gill Bejerano, and William J. Dally. Darwin: A Genomics CoProcessor Provides up to 15,000X Acceleration on Long Read Assembly. (2018), 199--213. Google ScholarGoogle ScholarDigital LibraryDigital Library
  71. MCJ van Lanschot, LJW Bosch, M de Wit, B Carvalho, and GA Meijer. Early detection: The impact of genomics. Virchows Archiv 471, 2 (2017), 165--173. https://link.springer.com/article/10.1007/s00428-017-2159-2Google ScholarGoogle ScholarCross RefCross Ref
  72. Robert Vaser, Ivan Sović, Niranjan Nagarajan, and Mile Šikić. Fast and accurate de novo genome assembly from long uncorrected reads. Genome research 27, 5 (2017), 737--746. https://genome.cshlp.org/content/27/5/737.shortGoogle ScholarGoogle Scholar
  73. Md. Vasimuddin, Sanchit Misra, Heng Li, and Srinivas Aluru. Efficient Architecture-Aware Acceleration of BWA-MEM for Multicore Systems. In 2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS). 314--324. Google ScholarGoogle ScholarCross RefCross Ref
  74. Kris A. Wetterstrand. DNA sequencing costs: Data. https://www.genome.gov/about-genomics/fact-sheets/DNA-Sequencing-Costs-DataGoogle ScholarGoogle Scholar
  75. Ryan R Wick, Louise M Judd, and Kathryn E Holt. Performance of neural network basecalling tools for Oxford Nanopore sequencing. Genome biology 20, 1 (2019), 1--10. https://link.springer.com/article/10.1186/s13059-019-1727-yGoogle ScholarGoogle Scholar
  76. Lisa Wu, Andrea Lottarini, Timothy K. Paine, Martha A. Kim, and Kenneth A. Ross. Q100: The Architecture and Design of a Database Processing Unit. In Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems (Salt Lake City, Utah, USA) (ASPLOS '14). Association for Computing Machinery, New York, NY, USA, 255--268. Google ScholarGoogle ScholarDigital LibraryDigital Library
  77. Xiao Wu, Arun Subramaniyan, Zhehong Wang, Satish Narayanasamy, Reetuparna Das, and David Blaauw. A High-Throughput Pruning-Based Pair-Hidden-Markov-Model Hardware Accelerator for Next-Generation DNA Sequencing. IEEE Solid-State Circuits Letters 4 (2021), 31--35. Google ScholarGoogle ScholarCross RefCross Ref
  78. Eleftheria Zeggini, Anna L. Gloyn, Anne C. Barton, and Louise V. Wain. Translational genomics and precision medicine: Moving from the lab to the clinic. Science 365, 6460 (2019), 1409--1413. Optimization Notice: Software and workloads used in performance tests may have been optimized for performance only on Intel microprocessors. Performance tests, such as SYSmark and MobileMark, are measured using specific computer systems, components, software, operations and functions. Any change to any of those factors may cause the results to vary. You should consult other information and performance tests to assist you in fully evaluating your contemplated purchases, including the performance of that product when combined with other products. For more information go to http://www.intel.com/performance. Intel, Xeon, and Intel Xeon Phi are trademarks of Intel Corporation in the U.S. and/or other countries. Received 21 Nov. 2022; Revised 20 Feb. 2023; Accepted 9 Mar. 2023 Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. GenDP: A Framework of Dynamic Programming Acceleration for Genome Sequencing Analysis

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Article Metrics

        • Downloads (Last 12 months)814
        • Downloads (Last 6 weeks)79

        Other Metrics

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader