skip to main content
10.1145/3579371.3589104acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

RoboShape: Using Topology Patterns to Scalably and Flexibly Deploy Accelerators Across Robots

Published:17 June 2023Publication History

ABSTRACT

A key challenge for hardware acceleration of robotics applications is the enormous diversity of possible deployment scenarios. To create efficient accelerators while minimizing non-recurring engineering costs, it is essential to identify high-level computational patterns that are prescribed by the physical characteristics of the deployed robot system and directly embed these domain-specific insights into the accelerator design process. To address this challenge, we present RoboShape, an accelerator framework that leverages two topology-based computational patterns that scale with robot size: (1) topology traversals, and (2) large topology-based matrices. Using these patterns and building on prior work, we expose opportunities to directly use robot topology to inform architectural mechanisms including task scheduling and allocation, data placement, block matrix operations, and sparse I/O data. Designing architectures according to topology-based patterns enables flexible, scalable, optimized accelerator deployment across the nonlinear design space of robot shape and computing resources. With this insight, we establish a systematic framework to generate accelerators, and use it to implement three accelerators for three different robots, achieving speedups over state-of-the-art CPU and GPU solutions. For the topologically-diverse iiwa manipulator, HyQ quadruped, and Baxter torso robots, RoboShape accelerators on an FPGA provide a 4.0× to 4.4× speedup in compute latency over CPU and a 8.0× to 15.1× speedup over GPU for the dynamics gradients, a key bottleneck preventing online execution of nonlinear optimal motion control for legged robots. Taking a broader view, for topology-based applications, RoboShape enables analysis of performance and resource utilization tradeoffs that will be critical to managing resources across accelerators in future full robotics domain-specific SoCs.

References

  1. Bahar Asgari, Ramyad Hadidi, Nima Shoghi Ghaleshahi, and Hyesoon Kim. 2020. Pisces: power-aware implementation of slam by customizing efficient sparse algebra. In 2020 57th ACM/IEEE Design Automation Conference (DAC). IEEE, 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  2. Authors of RoboShape. Accessed in 2023. RoboShape Open-Source Repository. github.com/robot-acceleration/roboshapeGoogle ScholarGoogle Scholar
  3. Mohammad Bakhshalipour, Seyed Borna Ehsani, Mohamad Qadri, Dominic Guri, Maxim Likhachev, and Phillip B. Gibbons. 2022. RACOD: Algorithm/Hardware Co-Design for Mobile Robot Path Planning. In Proceedings of the 49th Annual International Symposium on Computer Architecture. ACM, 597--609.Google ScholarGoogle Scholar
  4. Boston Dynamics. Accessed in 2022. Spot | Boston Dynamics. bostondynamics.com/spotGoogle ScholarGoogle Scholar
  5. Alexandre Campeau-Lecours, Hugo Lamontagne, Simon Latour, Philippe Fauteux, Véronique Maheu, François Boucher, Charles Deguire, and Louis-Joseph Caron L'Ecuyer. 2019. Kinova modular robot arms for service robotics applications. In Rapid Automation: Concepts, Methodologies, Tools, and Applications. IGI global, 693--719.Google ScholarGoogle Scholar
  6. Vinicius Cardoso, Josias Oliveira, Thomas Teixeira, Claudine Badue, Filipe Mutz, Thiago Oliveira-Santos, Lucas Veronese, and Alberto F De Souza. 2017. A model-predictive motion planner for the iara autonomous car. In 2017 IEEE international conference on robotics and automation (ICRA). IEEE, 225--230.Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Justin Carpentier and Nicolas Mansard. 2018. Analytical derivatives of rigid body dynamics algorithms. In Robotics: Science and systems (RSS 2018).Google ScholarGoogle ScholarCross RefCross Ref
  8. Justin Carpentier, Guilhem Saurel, Gabriele Buondonno, Joseph Mirabel, Florent Lamiraux, Olivier Stasse, and Nicolas Mansard. 2019. The Pinocchio C++ library: A fast and flexible implementation of rigid body dynamics algorithms and their analytical derivatives. In 2019 IEEE/SICE International Symposium on System Integration (SII). IEEE, 614--619.Google ScholarGoogle ScholarCross RefCross Ref
  9. Robin Deits, Twan Koolen, and Russ Tedrake. 2019. LVIS: Learning from value function intervals for contact-aware robot controllers. In 2019 International Conference on Robotics and Automation (ICRA). IEEE, 7762--7768.Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Schuyler Eldridge, Prithayan Barua, Aliaksei Chapyzhenka, Adam Izraelevitz, Jack Koenig, Chris Lattner, Andrew Lenharth, George Leontiev, Fabian Schuiki, Ram Sunder, et al. 2021. MLIR as Hardware Compiler Infrastructure. In Workshop on Open-Source EDA Technology (WOSET).Google ScholarGoogle Scholar
  11. Roy Featherstone. 1983. The calculation of robot dynamics using articulated-body inertias. The international journal of robotics research 2, 1 (1983), 13--30.Google ScholarGoogle Scholar
  12. Roy Featherstone. 2008. Rigid body dynamics algorithms. Springer.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Siyuan Feng, Eric Whitman, X Xinjilefu, and Christopher G Atkeson. 2014. Optimization based full body control for the atlas robot. In 2014 IEEE-RAS International Conference on Humanoid Robots. IEEE, 120--127.Google ScholarGoogle ScholarCross RefCross Ref
  14. Cliff Fitzgerald. 2013. Developing baxter. In 2013 IEEE Conference on Technologies for Practical Robot Applications (TePRA). IEEE, 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  15. Markus Giftthaler, Michael Neunert, Markus Stäuble, Jonas Buchli, and Moritz Diehl. 2018. A family of iterative gauss-newton shooting methods for nonlinear optimal control. In 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS). IEEE, 1--9.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Ramyad Hadidi, Bahar Asgari, Sam Jijina, Adriana Amyette, Nima Shoghi, and Hyesoon Kim. 2021. Quantifying the design-space tradeoffs in autonomous drones. In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 661--673.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Yinhe Han, Yuxin Yang, Xiaoming Chen, and Shiqi Lian. 2020. DaDu series-fast and efficient robot accelerators. In 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD). IEEE, 1--8.Google ScholarGoogle Scholar
  18. John L Hennessy and David A Patterson. 2019. A new golden age for computer architecture. Commun. ACM (2019).Google ScholarGoogle Scholar
  19. Robert K Katzschmann, Cosimo Della Santina, Yasunori Toshimitsu, Antonio Bicchi, and Daniela Rus. 2019. Dynamic motion control of multi-segment soft robots using piecewise constant curvature matched with an augmented rigid body model. In 2019 2nd IEEE International Conference on Soft Robotics (RoboSoft). IEEE, 454--461.Google ScholarGoogle ScholarCross RefCross Ref
  20. Myron King, Jamey Hicks, and John Ankcorn. 2015. Software-driven hardware development. In Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. 13--22.Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Kinova. Accessed 2022. Jaco Robotic Arm. assistive.kinovarobotics.com/product/jaco-robotic-armGoogle ScholarGoogle Scholar
  22. Srivatsan Krishnan, Zishen Wan, Kshitij Bhardwaj, Paul Whatmough, Aleksandra Faust, Sabrina M. Neuman, Gu-Yeon Wei, David Brooks, and Vijay Janapa Reddi. 2022. Automatic Domain-Specific SoC Design for Autonomous Unmanned Aerial Vehicles. In 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 300--317.Google ScholarGoogle Scholar
  23. Eric Krotkov, Douglas Hackett, Larry Jackel, Michael Perschbacher, James Pippine, Jesse Strauss, Gill Pratt, and Christopher Orlowski. 2017. The DARPA robotics challenge finals: results and perspectives. Journal of Field Robotics 34, 2 (2017), 229--240.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Scott Kuindersma, Robin Deits, Maurice Fallon, Andrés Valenzuela, Hongkai Dai, Frank Permenter, Twan Koolen, Pat Marion, and Russ Tedrake. 2016. Optimization-based locomotion planning, estimation, and control design for the atlas humanoid robot. Autonomous Robots 40, 3 (2016), 429--455.Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. KUKA AG. Accessed in 2022. LBR iiwa, KUKA AG. kuka.com/products/robotics-systems/industrial-robots/lbr-iiwaGoogle ScholarGoogle Scholar
  26. Weizhuang Liu, Bo Yu, Yiming Gan, Qiang Liu, Jie Tang, Shaoshan Liu, and Yuhao Zhu. 2021. Archytas: A framework for synthesizing and dynamically optimizing accelerators for robotic localization. In MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. 479--493.Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Yanqi Liu, Giuseppe Calderoni, and Ruth Iris Bahar. 2020. Hardware Acceleration of Monte-Carlo Sampling for Energy Efficient Robust Robot Manipulation. In 2020 30th International Conference on Field-Programmable Logic and Applications (FPL). IEEE, 284--290.Google ScholarGoogle Scholar
  28. Yeting Liu, Junjie Shen, Jingwen Zhang, Xiaoguang Zhang, Taoyuanmin Zhu, and Dennis Hong. 2022. Design and control of a miniature bipedal robot with proprioceptive actuation for dynamic behaviors. In 2022 International Conference on Robotics and Automation (ICRA). IEEE, 8547--8553.Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. JYSM Luh, M Walker, and R Paul. 1980. Resolved-acceleration control of mechanical manipulators. IEEE Trans. Automat. Control 25, 3 (1980), 468--474.Google ScholarGoogle ScholarCross RefCross Ref
  30. Carlos Mastalli, Rohan Budhiraja, Wolfgang Merkt, Guilhem Saurel, Bilal Hammoud, Maximilien Naveau, Justin Carpentier, Ludovic Righetti, Sethu Vijayakumar, and Nicolas Mansard. 2020. Crocoddyl: An efficient and versatile framework for multi-contact optimal control. In 2020 IEEE International Conference on Robotics and Automation (ICRA). IEEE, 2536--2542.Google ScholarGoogle ScholarCross RefCross Ref
  31. Sean Murray, William Floyd-Jones, Ying Qi, George Konidaris, and Daniel J Sorin. 2016. The microarchitecture of a real-time robot motion planning accelerator. In 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 1--12.Google ScholarGoogle ScholarCross RefCross Ref
  32. Sabrina M Neuman, Brian Plancher, Thomas Bourgeat, Thierry Tambe, Srinivas Devadas, and Vijay Janapa Reddi. 2021. Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology. In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 674--686.Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Michael Neunert, Cédric De Crousaz, Fadri Furrer, Mina Kamel, Farbod Farshidian, Roland Siegwart, and Jonas Buchli. 2016. Fast nonlinear model predictive control for unified trajectory optimization and tracking. In Robotics and Automation (ICRA), 2016 IEEE International Conference on. IEEE, 1398--1404.Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Michael Neunert, Markus Stäuble, Markus Giftthaler, Carmine D Bellicoso, Jan Carius, Christian Gehring, Marco Hutter, and Jonas Buchli. 2018. Whole-body nonlinear model predictive control through contacts for quadrupeds. IEEE Robotics and Automation Letters 3, 3 (2018), 1458--1465.Google ScholarGoogle ScholarCross RefCross Ref
  35. John N Nganga and Patrick M Wensing. 2021. Accelerating second-order differential dynamic programming for rigid-body systems. IEEE Robotics and Automation Letters 6, 4 (2021), 7659--7666.Google ScholarGoogle ScholarCross RefCross Ref
  36. Rachit Nigam, Samuel Thomas, Zhijing Li, and Adrian Sampson. 2021. A compiler infrastructure for accelerator generators. In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. 804--817.Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Rishiyur Nikhil. 2004. Bluespec System Verilog: efficient, correct RTL from high level specifications. In Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2004. MEMOCODE'04. IEEE, 69--70.Google ScholarGoogle Scholar
  38. NVIDIA. Accessed in 2022. NVIDIA Deep Learning Accelerator (NVDLA). nvdla.orgGoogle ScholarGoogle Scholar
  39. Zherong Pan, Bo Ren, and Dinesh Manocha. 2019. GPU-based contact-aware trajectory optimization using a smooth force model. In Proceedings of the 18th annual ACM SIGGRAPH/Eurographics Symposium on Computer Animation. ACM, 4.Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Amit Kumar Pandey and Rodolphe Gelin. 2018. A mass-produced sociable humanoid robot: Pepper: The first machine of its kind. IEEE Robotics & Automation Magazine 25, 3 (2018), 40--48.Google ScholarGoogle ScholarCross RefCross Ref
  41. Liam Patterson, David Pigorovsky, Brian Dempsey, Nikita Lazarev, Aditya Shah, Clara Steinhoff, Ariana Bruno, Justin Hu, and Christina Delimitrou. 2022. Hive-Mind: a hardware-software system stack for serverless edge swarms. In Proceedings of the 49th Annual International Symposium on Computer Architecture. 800--816.Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Petoi. Accessed 2022. Bittle. petoi.com/bittleGoogle ScholarGoogle Scholar
  43. Brian Plancher and Scott Kuindersma. 2018. A Performance Analysis of Parallel Differential Dynamic Programming on a GPU. In International Workshop on the Algorithmic Foundations of Robotics (WAFR).Google ScholarGoogle Scholar
  44. B. Plancher, S. M. Neuman, T. Bourgeat, S. Kuindersma, S. Devadas, and V. Janapa Reddi. 2021. Accelerating Robot Dynamics Gradients on a CPU, GPU, and FPGA. IEEE Robotics and Automation Letters (RA-L) 6, 2 (2021), 2335--2342. Google ScholarGoogle ScholarCross RefCross Ref
  45. Brian Plancher, Sabrina M Neuman, Radhika Ghosal, Scott Kuindersma, and Vijay Janapa Reddi. 2022. Grid: Gpu-accelerated rigid body dynamics with analytical gradients. In 2022 International Conference on Robotics and Automation (ICRA). IEEE, 6253--6260.Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Nicolaus A Radford, Philip Strawser, Kimberly Hambuchen, Joshua S Mehling, William K Verdeyen, A Stuart Donnan, James Holley, Jairo Sanchez, Vienny Nguyen, Lyndon Bridgwater, Reginald Berka, Robert Ambrose, Christopher McQuin, John D. Yamokoski, Stephen Hart, Raymond Guo, Adam Parsons, Brian Wightman, Paul Dinh, Barrett Ames, Charles Blakely, Courtney Edmonson, Brett Sommers, Rochelle Rea, Chad Tobler, Heather Bibby, Brice Howard, Lei Nui, Andrew Lee, Michael Conover, Lily Truong, David Chesney, Robert Platt Jr., Gwendolyn Johnson, Chien-Liang Fok, Nicholas Paine, Luis Sentis, Eric Cousineau, Ryan Sinnet, Jordan Lack, Matthew Powell, Benjamin Morris, and Aaron Ames. 2015. Valkyrie: NASA's first bipedal humanoid robot. Journal of Field Robotics 32, 3 (2015), 397--419.Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. Federico Renda, Frédéric Boyer, Jorge Dias, and Lakmal Seneviratne. 2018. Discrete cosserat approach for multisection soft manipulator dynamics. IEEE Transactions on Robotics 34, 6 (2018), 1518--1533.Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. Jacob Sacks, Divya Mahajan, Richard C Lawson, and Hadi Esmaeilzadeh. 2018. Robox: an end-to-end solution to accelerate autonomous control in robotics. In 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 479--490.Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Claudio Semini, Nikos G Tsagarakis, Emanuele Guglielmino, Michele Focchi, Ferdinando Cannella, and Darwin G Caldwell. 2011. Design of HyQ-a hydraulically and electrically actuated quadruped robot. Proceedings of the Institution of Mechanical Engineers, Part I: Journal of Systems and Control Engineering 225, 6 (2011), 831--849.Google ScholarGoogle ScholarCross RefCross Ref
  50. Anthony Stentz, Herman Herman, Alonzo Kelly, Eric Meyhofer, G Clark Haynes, David Stager, Brian Zajac, J Andrew Bagnell, Jordan Brindza, Christopher Dellin, Michael George, Jose Gonzalez-Mora, Sean Hyde, Morgan Jones, Michel Laverne, Maxim Likhachev, Levi Lister, Matt Powers, Oscar Ramos, Justin Ray, David Rice, Justin Scheifflee, Raumi Sidki, Siddhartha Srinivasa, Kyle Strabala, Jean-Philippe Tardif, Jean-Sebastien Valois, J. Michael Vande Weghe, Michael Wagner, and Carl Wellington. 2015. CHIMP, the CMU highly intelligent mobile platform. Journal of Field Robotics 32, 2 (2015), 209--228.Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. Amr Suleiman, Zhengdong Zhang, Luca Carlone, Sertac Karaman, and Vivienne Sze. 2019. Navion: A 2-mw fully integrated real-time visual-inertial odometry accelerator for autonomous navigation of nano drones. IEEE Journal of Solid-State Circuits 54, 4 (2019), 1106--1119.Google ScholarGoogle ScholarCross RefCross Ref
  52. Michael W Walker and David E Orin. 1982. Efficient dynamic computer simulation of robotic mechanisms. Trans. ASME J. Dynamic Systems, Measurement, and Control 104, 3 (1982), 205.Google ScholarGoogle ScholarCross RefCross Ref
  53. Felix Winterstein, Samuel Bayliss, and George A Constantinides. 2013. High-level synthesis of dynamic data structures: A case study using Vivado HLS. In 2013 International conference on field-programmable technology (FPT). IEEE, 362--365.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. RoboShape: Using Topology Patterns to Scalably and Flexibly Deploy Accelerators Across Robots

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISCA '23: Proceedings of the 50th Annual International Symposium on Computer Architecture
        June 2023
        1225 pages
        ISBN:9798400700958
        DOI:10.1145/3579371

        Copyright © 2023 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 17 June 2023

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate543of3,203submissions,17%

        Upcoming Conference

        ISCA '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader