skip to main content
10.1145/3583781.3590239acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

ESFO: Equality Saturation for FIRRTL Optimization

Published:05 June 2023Publication History

ABSTRACT

With the successful application of hardware agile design methodology, it has become a big challenge to optimize the design in novelly defined intermediate representations (IR), such as FIRRTL. However, there is little work focusing on this challenge, or the optimization tasks are left to logic synthesizers by translating IRs into designs in hardware description languages (HDL).

In this paper, we propose a novel method based on equality saturation to optimize design in IR forms. For the given optimization goal, the proposed method is able to optimize a circuit in IR form with mixed word- and bit-level components. The promising experimental results show that the proposed method can really optimize a design in IR form. Furthermore, when taking the number of cells as the optimization goal, the proposed method could achieve up to 28.62% reduction when compared with FIRRTL native optimization techniques.

References

  1. Yunsup Lee, Andrew Waterman, and Henry Cook. 2016. An Agile Approach to Building RISC-V Microprocessors. IEEE Micro 36, 2 (2016), 8--20. https://doi.org/10.1109/MM.2016.11Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Adam M. Izraelevitz, Jack Koenig, and Patrick Li. 2017. Reusability is FIRRTL ground: Hardware construction languages, compiler frameworks, and transformations. In ICCAD 2017. IEEE, 209--216. https://doi.org/10.1109/ICCAD.2017.8203780Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Deeksha Dangwal, Georgios Tzimpragos, and Timothy Sherwood. 2020. Agile Hardware Development and Instrumentation With PyRTL. IEEE Micro 40, 4(2020), 76--84. https://doi.org/10.1109/MM.2020.2997704Google ScholarGoogle ScholarCross RefCross Ref
  4. Ross Daly, Lenny Truong, and Pat Hanrahan. 2018. Invoking and Linking Generators from Multiple Hardware Languages using CoreIR.Google ScholarGoogle Scholar
  5. Gopalakrishnan Sivaram and Kalla Priyank. 2009. Algebraic techniques to enhance common sub-expression elimination for polynomial system synthesis. In 2009 Design, Automation & Test in Europe Conference & Exhibition. 1452--1457. https://doi.org/10.1109/DATE.2009.5090892Google ScholarGoogle Scholar
  6. Ajay K. Verma and Paolo Ienne. 2006. Towards the automatic exploration of arithmetic-circuit architectures. In DAC 2006. ACM, 445--450. https://doi.org/10.1145/1146909.1147027Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Sumit Gupta, Rajesh Gupta, and Nikil Dutt. 2004. SPARK: A Parallelizing Approach to the High-Level Synthesis of Digital Circuits. Springer US.Google ScholarGoogle Scholar
  8. Max Willsey, Chandrakana Nandi, and Yisu Remy Wang. 2021. egg: Fast and extensible equality saturation. Proc. ACM Program. Lang. 5, POPL (2021), 1--29. https://doi.org/10.1145/3434304Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Nelson Charles Gregory. 1980. Techniques for Program Verification. Ph. D. Dissertation. Stanford University, United States-California. AAI8011683.Google ScholarGoogle Scholar
  10. Ross Tate, Michael Stepp, and Zachary Tatlock. 2011. Equality Saturation: A New Approach to Optimization. Log. Methods Comput. Sci. 7, 1 (2011). https://doi.org/10.2168/LMCS-7(1:10)2011Google ScholarGoogle Scholar
  11. Max Willsey. 2021. Practical and Flexible Equality Saturation. Ph. D. Dissertation. University of Washington, USA. https://hdl.handle.net/1773/47423Google ScholarGoogle Scholar
  12. Alexa VanHattum, Rachit Nigam, and Vincent T. Lee. 2021. Vectorization for digital signal processors via equality saturation. In ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021. ACM, 874--886. https://doi.org/10.1145/3445814.3446707Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Chandrakana Nandi, Max Willsey, and Adam Anderson. 2020. Synthesizing structured CAD models with equality saturation and inverse transformations. In PLDI 2020. ACM, 31--44. https://doi.org/10.1145/3385412.3386012Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Yisu Remy Wang, Shana Hutchison, and Dan Suciu. 2020. SPORES: Sum-Product Optimization via Relational Equality Saturation for Large Scale Linear Algebra. Proc. VLDB Endow. 13, 11 (2020), 1919--1932. http://www.vldb.org/pvldb/vol13/p1919-wang.pdfGoogle ScholarGoogle ScholarDigital LibraryDigital Library
  15. Chandrakana Nandi, Max Willsey, and Amy Zhu. 2021. Rewrite rule inference using equality saturation. Proc. ACM Program. Lang. 5, OOPSLA (2021), 1--28. https://doi.org/10.1145/3485496Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Yichen Yang, Mangpo Phitchaya Phothilimtha, and Yisu Remy Wang. 2021. Equality Saturation for Tensor Graph Superoptimization. CoRR abs/2101.01332 (2021).https://arxiv.org/abs/2101.01332Google ScholarGoogle Scholar

Index Terms

  1. ESFO: Equality Saturation for FIRRTL Optimization

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '23: Proceedings of the Great Lakes Symposium on VLSI 2023
      June 2023
      731 pages
      ISBN:9798400701252
      DOI:10.1145/3583781

      Copyright © 2023 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 June 2023

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA
    • Article Metrics

      • Downloads (Last 12 months)78
      • Downloads (Last 6 weeks)14

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader