skip to main content
10.1145/3583781.3590308acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
short-paper

SVP: Safe and Efficient Speculative Execution Mechanism through Value Prediction

Authors Info & Claims
Published:05 June 2023Publication History

ABSTRACT

Speculative execution attacks such as Spectre and Meltdown exploit the wrong execution patch to leak private data. In current state-of-the-art defense strategies, executions of all memory accesses that use speculatively-loaded addresses are blocked, resulting in high overhead. Our key observation is that these blocked memory accesses can be executed without operand-dependent hardware resource usage through value prediction. Therefore, we propose a novel hardware defense framework, named Speculative Value Prediction (SVP), to safely and efficiently execute the potentially unsafe memory accesses earlier. We build SVP on the cycle-accurate Gem5 simulator and its performance improvement is positively correlated with the coverage of value predictors. Experiments show that when using the value predictor with 30%/60%/100% coverage, SVP outperforms the state-of-the-art defense mechanism STT in the Spectre model by 21.5%/50.3%/107.7% respectively, and in the Futuristic model by 28.7%/55.4%/105.7% respectively.

References

  1. Sam Ainsworth et al. 2020. Muontrap: Preventing cross-domain spectre-like attacks by capturing speculative state. In ISCA. IEEE.Google ScholarGoogle Scholar
  2. Mohammad Behnia et al. 2021. Speculative interference attacks: Breaking invisible speculation schemes. In ASPLOS.Google ScholarGoogle Scholar
  3. Ben Gras et al. 2018. Translation leak-aside buffer: Defeating cache side-channel protections with {TLB} attacks. In USENIX Security.Google ScholarGoogle Scholar
  4. Yasuo Ishii. 2018. Context-Base Computational Value Prediction with Value Compression. In CVP-1.Google ScholarGoogle Scholar
  5. Khaled N Khasawneh et al. 2019. Safespec: Banishing the spectre of a meltdown with leakage-free speculation. In DAC. IEEE.Google ScholarGoogle Scholar
  6. Vladimir Kiriansky et al. 2018. DAWG: A defense against cache timing attacks in speculative execution processors. In MICRO. IEEE.Google ScholarGoogle Scholar
  7. Paul Kocher et al. 2020. Spectre attacks: Exploiting speculative execution. Commun. ACM (2020).Google ScholarGoogle Scholar
  8. Esmaeil Mohammadian Koruyeh et al. 2018. Spectre returns! speculation attacks using the return stack buffer. In WOOT.Google ScholarGoogle Scholar
  9. Peinan Li et al. 2019. Conditional speculation: An effective approach to safeguard out-of-order execution against spectre attacks. In HPCA. IEEE.Google ScholarGoogle Scholar
  10. Moritz Lipp et al. 2020. Meltdown: Reading kernel memory from user space. Commun. ACM (2020).Google ScholarGoogle Scholar
  11. Giorgi Maisuradze and Christian Rossow. 2018. ret2spec: Speculative execution using return stack buffers. In SIGSAC.Google ScholarGoogle Scholar
  12. Arthur Perais et al. 2014. Practical data value speculation for future high-end processors. In HPCA. IEEE.Google ScholarGoogle Scholar
  13. Christos Sakalis et al. 2019. Efficient invisible speculative execution through selective delay and value prediction. In ISCA. IEEE.Google ScholarGoogle Scholar
  14. Michael Schwarz et al. 2019. Netspectre: Read arbitrary memory over network. In ESORICS. Springer.Google ScholarGoogle Scholar
  15. André Seznec. 2018. Exploring value prediction with the EVES predictor. In CVP-1.Google ScholarGoogle Scholar
  16. Mengjia Yan et al. 2017. Secure hierarchy-aware cache replacement policy (SHARP): Defending against cache-based side channel attacks. In ISCA. IEEE.Google ScholarGoogle Scholar
  17. Mengjia Yan et al. 2018. Invisispec: Making speculative execution invisible in the cache hierarchy. In Micro. IEEE.Google ScholarGoogle Scholar
  18. Yuval Yarom and Katrina Falkner. 2014. {FLUSH RELOAD}: A High Resolution, Low Noise, L3 Cache {Side-Channel}Attack. In USENIX security.Google ScholarGoogle Scholar
  19. Jiyong Yu et al. 2019. Speculative taint tracking (stt) a comprehensive protection for speculatively accessed data. In Micro.Google ScholarGoogle Scholar
  20. Jiyong Yu et al. 2020. Speculative data-oblivious execution: Mobilizing safe prediction for safe and efficient speculative execution. In ISCA. IEEE.Google ScholarGoogle Scholar

Index Terms

  1. SVP: Safe and Efficient Speculative Execution Mechanism through Value Prediction

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        GLSVLSI '23: Proceedings of the Great Lakes Symposium on VLSI 2023
        June 2023
        731 pages
        ISBN:9798400701252
        DOI:10.1145/3583781

        Copyright © 2023 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 5 June 2023

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • short-paper

        Acceptance Rates

        Overall Acceptance Rate312of1,156submissions,27%

        Upcoming Conference

        GLSVLSI '24
        Great Lakes Symposium on VLSI 2024
        June 12 - 14, 2024
        Clearwater , FL , USA
      • Article Metrics

        • Downloads (Last 12 months)91
        • Downloads (Last 6 weeks)7

        Other Metrics

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader