skip to main content
research-article

A Hybrid Optical-Electrical Analog Deep Learning Accelerator Using Incoherent Optical Signals

Authors Info & Claims
Published:03 May 2023Publication History
Skip Abstract Section

Abstract

Optical deep learning (DL) accelerators have attracted significant interests due to their latency and power advantages. In this article, we focus on incoherent optical designs. A significant challenge is that there is no known solution to perform single-wavelength accumulation (a key operation required for DL workloads) using incoherent optical signals efficiently. Therefore, we devise a hybrid approach, where accumulation is done in the electrical domain, and multiplication is performed in the optical domain. The key technology enabler of our design is the transistor laser, which performs electrical-to-optical and optical-to-electrical conversions efficiently. Through detailed design and evaluation of our design, along with a comprehensive benchmarking study against state-of-the-art RRAM-based designs, we derive the following key results:

(1) For a four-layer multilayer perceptron network, our design achieves 115× and 17.11× improvements in latency and energy, respectively, compared to the RRAM-based design. We can take full advantage of the speed and energy benefits of the optical technology because the inference task can be entirely mapped onto our design.

(2) For a complex workload (Resnet50), weight reprogramming is needed, and intermediate results need to be stored/re-fetched to/from memories. In this case, for the same area, our design still outperforms the RRAM-based design by 15.92× in inference latency, and 8.99× in energy.

REFERENCES

  1. [1] Ankit Aayush, Hajj Izzat El, Chalamalasetti Sai Rahul, Ndu Geoffrey, Foltin Martin, Williams R. Stanley, Faraboschi Paolo, Hwu Wen-mei W., Strachan John Paul, Roy Kaushik, et al. 2019. PUMA: A programmable ultra-efficient memristor-based accelerator for machine learning inference. In Proceedings of the 24th International Conference on Architectural Support for Programming Languages and Operating Systems. 715731.Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. [2] Arbabi Amir, Kang Young Mo, Lu Ching-Ying, Chow Edmond, and Goddard Lynford L.. 2011. Realization of a narrowband single wavelength microring mirror. Appl. Phys. Lett. 99, 9 (2011).Google ScholarGoogle ScholarCross RefCross Ref
  3. [3] Balasubramonian Rajeev, Kahng Andrew B., Muralimanohar Naveen, Shafiee Ali, and Srinivas Vaishnav. 2017. CACTI 7: New tools for interconnect exploration in innovative off-chip memories. ACM Trans. Archit. Code Optim. 14, 2 (2017).Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. [4] Blumenthal Daniel J., Heideman Rene, Geuzebroek Douwe, Leinse Arne, and Roeloffzen Chris. 2018. Silicon nitride in silicon photonics. Proc. IEEE 106, 12 (Dec.2018), 22092231.Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Bueno Julian, Maktoobi Sheler, Froehly Luc, Fischer Ingo, Jacquot Maxime, Larger Laurent, and Brunner Daniel. 2018. Reinforcement learning in a large-scale photonic recurrent neural network. Optica 5, 6 (2018), 756760.Google ScholarGoogle ScholarCross RefCross Ref
  6. [6] Carlson John A., Williams Coleman G., Ganjoo Maanav, and Dallesasse John M.. 2019. Epitaxial bonding and transfer processes for large-scale heterogeneously integrated electronic-photonic circuitry. J. Electrochem. Soc. 166, D3158 (2019).Google ScholarGoogle Scholar
  7. [7] Chang Julie, Sitzmann Vincent, Dun Xiong, Heidrich Wolfgang, and Wetzstein Gordon. 2018. Hybrid optical-electronic convolutional neural networks with optimized diffractive optics for image classification. Sci. Rep. 8, 1 (2018), 12324.Google ScholarGoogle ScholarCross RefCross Ref
  8. [8] Cheng Qixiang, Kwon Jihye, Glick Madeleine, Bahadori Meisam, Carloni Luca P., and Bergman Keren. 2020. Silicon photonics codesign for deep learning. Proc. IEEE 108, 8 (2020), 12611282. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  9. [9] Dong Zhen, Zhou Zheng, Li Zefan, Liu Chen, Huang Peng, Liu Lifeng, Liu Xiaoyan, and Kang Jinfeng. 2019. Convolutional neural networks based on RRAM devices for image recognition and online learning tasks. IEEE Trans. Electron Dev. 66, 1 (2019), 793801. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  10. [10] Feng M., Then H. W., Jr N. Holonyak, Walter G., and James A.. 2017. Resonance-free optical response of a vertical cavity transistor laser. Appl. Phys. Lett. 111, 12 (2017).Google ScholarGoogle ScholarCross RefCross Ref
  11. [11] Hamerly Ryan, Bernstein Liane, Sludds Alexander, Soljačić Marin, and Englund Dirk. 2019. Large-scale optical neural networks based on photoelectric multiplication. Phys. Rev. X 9, 2 (2019), 021032.Google ScholarGoogle ScholarCross RefCross Ref
  12. [12] Hughes Tyler W., Minkov Momchil, Shi Yu, and Fan Shanhui. 2018. Training of photonic neural networks through in situ backpropagation and gradient measurement. Optica 5, 7 (2018), 864871.Google ScholarGoogle ScholarCross RefCross Ref
  13. [13] Jokar Mohammad Reza, Qiu Junyi, Chong Frederic T., Goddard Lynford L., Dallesasse John M., Feng Milton, and Li Yanjing. 2019. Direct-modulated optical networks for interposer systems. In Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip.Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. [14] Jokar Mohammad Reza, Zhang Lunkai, Dallesasse John M., Chong Frederic T., and Li Yanjing. 2020. Baldur: A power-efficient and scalable network using all-optical switches. In Proceedings of the 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA’20). 153166.Google ScholarGoogle ScholarCross RefCross Ref
  15. [15] Jouppi Norman P., Young Cliff, Patil Nishant, Patterson David, Agrawal Gaurav, Bajwa Raminder, Bates Sarah, Bhatia Suresh, Boden Nan, Borchers Al, et al. 2017. In-datacenter performance analysis of a tensor processing unit. In Proceedings of the 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA’17). IEEE, 112.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. [16] Karim M. Ataul and Franzon Paul D.. 2014. A 0.65 mW/Gbps 30 Gbps capacitive coupled 10 mm serial link in 2.5D silicon interposer. In Proceedings of the 2014 IEEE 23rd Conference on Electrical Performance of Electronic Packaging and Systems. 131134. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  17. [17] Liang Haibo, Soref Richard, Mu Jianwei, Li Xun, and Huang Wei-Ping. 2015. Electro-optical phase-change 2 × 2 switching using three- and four-waveguide directional couplers. Appl. Opt. 54, 19 (Jul 2015), 58975902.Google ScholarGoogle ScholarCross RefCross Ref
  18. [18] Lin Xing, Rivenson Yair, Yardimci Nezih T., Veli Muhammed, Luo Yi, Jarrahi Mona, and Ozcan Aydogan. 2018. All-optical machine learning using diffractive deep neural networks. Science 361, 6406 (2018), 10041008.Google ScholarGoogle ScholarCross RefCross Ref
  19. [19] Liu Weichen, Liu Wenyang, Ye Yichen, Lou Qian, Xie Yiyuan, and Jiang Lei. 2019. HolyLight: A nanophotonic accelerator for deep learning in data centers. In Proceedings of the 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE’19). 14831488. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  20. [20] Lou Qiuwen, Pan Chenyun, McGuinness John, Horvath Andras, Naeemi Azad, Niemier Michael, and Hu X. Sharon. 2019. A mixed signal architecture for convolutional neural networks. ACM J. Emerging Technol. Comput. Syst. (JETC), Vol. 15. 126.Google ScholarGoogle Scholar
  21. [21] Mehrabian Armin, Al-Kabani Yousra, Sorger Volker J., and El-Ghazawi Tarek. 2018. PCNNA: A photonic convolutional neural network accelerator. In Proceedings of the 2018 31st IEEE International System-on-Chip Conference (SOCC’18). 169173. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  22. [22] Ohno Shuhei, Toprasertpong Kasidit, Takagi Shinichi, and Takenaka Mitsuru. 2020. Si microring resonator crossbar arrays for deep learning accelerator. Jpn. J. Appl. Phys. 59, SG (2020), SGGE04.Google ScholarGoogle ScholarCross RefCross Ref
  23. [23] Ohno Shuhei, Toprasertpong Kasidit, Takagi Shinichi, and Takenaka Mitsuru. 2021. Si microring resonator crossbar array for on-chip inference and training of optical neural network. arXiv:2106.04351. https://arxiv.org/abs/2106.04351Google ScholarGoogle Scholar
  24. [24] Palit Indranil, Lou Qiuwen, Perricone Robert, Niemier Michael, and Hu X. Sharon. 2019. A uniform modeling methodology for benchmarking DNN accelerators. In Proceedings of the 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’19). 17.Google ScholarGoogle ScholarCross RefCross Ref
  25. [25] Peng Xiaochen and Yu Shimeng. 2018. Benchmark of RRAM based architectures for dot-product computation. In 2018 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS’18). 378381. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  26. [26] Reuther Albert, Michaleas Peter, Jones Michael, Gadepally Vijay, Samsi Siddharth, and Kepner Jeremy. 2020. Survey of machine learning accelerators. In Proceedings of the 2020 IEEE High Performance Extreme Computing Conference (HPEC’20). 112. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  27. [27] Saxena Indu and Fiesler Emile. 1995. Adaptive multilayer optical neural network with optical thresholding. Opt. Eng. (1995), 24352440.Google ScholarGoogle ScholarCross RefCross Ref
  28. [28] Shafiee Ali, Nag Anirban, Muralimanohar Naveen, Balasubramonian Rajeev, Strachan John Paul, Hu Miao, Williams R. Stanley, and Srikumar Vivek. 2016. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. In Proceedings of the ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA’16).Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. [29] Shen Yichen, Harris Nicholas C., Skirlo Scott, Prabhu Mihika, Baehr-Jones Tom, Hochberg Michael, Sun Xin, Zhao Shijie, Larochelle Hugo, Englund Dirk, et al. 2017. Deep learning with coherent nanophotonic circuits. Nat. Photonics 11, 7 (2017), 441.Google ScholarGoogle ScholarCross RefCross Ref
  30. [30] Shiflett Kyle, Wright Dylan, Karanth Avinash, and Louri Ahmed. 2020. PIXEL: Photonic neural network accelerator. In Proceedings of the 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA’20). 474487. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  31. [31] Stanfield P. R., Leenheer A. J., Michael C. P., Sims R., and Eichenfield M.. 2019. CMOS-compatible, piezo-optomechanically tunable photonics for visible wavelengths and cryogenic temperatures. Opt. Express 27, 20 (Sept.2019), 2858828605.Google ScholarGoogle ScholarCross RefCross Ref
  32. [32] Sunny Febin, Mirza Asif, Nikdast Mahdi, and Pasricha Sudeep. 2021. CrossLight: A cross-layer optimized silicon photonic neural network accelerator. In Proceedings of the 2021 58th ACM/IEEE Design Automation Conference (DAC’21). 10691074. DOI:Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. [33] Sunny Febin P., Mirza Asif, Nikdast Mahdi, and Pasricha Sudeep. 2021. ROBIN: A robust optical binary neural network accelerator. ACM Trans. Embedded Comput. Syst. (TECS) 20, 5s (2021), 124.Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. [34] Sunny Febin P., Taheri Ebadollah, Nikdast Mahdi, and Pasricha Sudeep. 2021. A survey on silicon photonics for deep learning. J. Emerg. Technol. Comput. Syst. 17, 4 (June2021), Article 61, 57 pages. DOI:Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. [35] Sze Vivienne, Chen Yu-Hsin, Yang Tien-Ju, and Emer Joel S.. 2017. Efficient processing of deep neural networks: A tutorial and survey. Proc. IEEE (2017), 22952329.Google ScholarGoogle ScholarCross RefCross Ref
  36. [36] Tait Alexander N., Nahmias Mitchell A., Shastri Bhavin J., and Prucnal Paul R.. 2014. Broadcast and weight: An integrated network for scalable photonic spike processing. J. Lightwave Technol. 32, 21 (2014), 40294041. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  37. [37] Tait Alexander N., Wu Allie X., Lima Thomas Ferreira de, Zhou Ellen, Shastri Bhavin J., Nahmias Mitchell A., and Prucnal Paul R.. 2016. Microring weight banks. IEEE J. Sel. Top. Quantum Electron. 22, 6 (2016), 312325. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  38. [38] Then Han Wui, Feng Milton, and Holonyak Nick. 2013. The transistor laser: Theory and experiment. Proc. IEEE 101, 10 (2013), 22712298.Google ScholarGoogle ScholarCross RefCross Ref
  39. [39] Xu Shaofu, Wang Jing, and Zou Weiwen. 2021. Optical convolutional neural network with WDM-based optical patching and microring weighting banks. IEEE Photonics Technol. Lett. 33, 2 (2021), 8992. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  40. [40] Zhao Meiran, Wu Huaqiang, Gao Bin, Zhang Qingtian, Wu Wei, Wang Shan, Xi Yue, Wu Dong, Deng Ning, Yu Shimeng, et al. 2017. Investigation of statistical retention of filamentary analog RRAM for neuromophic computing. In 2017 IEEE International Electron Devices Meeting (IEDM’17). 39.4.1–39.4.4.Google ScholarGoogle Scholar

Index Terms

  1. A Hybrid Optical-Electrical Analog Deep Learning Accelerator Using Incoherent Optical Signals

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 19, Issue 2
      April 2023
      214 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/3587888
      • Editor:
      • Ramesh Karri
      Issue’s Table of Contents

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 May 2023
      • Online AM: 17 February 2023
      • Accepted: 29 January 2023
      • Revised: 12 November 2022
      • Received: 18 March 2022
      Published in jetc Volume 19, Issue 2

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
    • Article Metrics

      • Downloads (Last 12 months)316
      • Downloads (Last 6 weeks)79

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    View Full Text

    HTML Format

    View this article in HTML Format .

    View HTML Format