skip to main content
research-article

MEDUSA: A Multi-Resolution Machine Learning Congestion Estimation Method for 2D and 3D Global Routing

Published:09 September 2023Publication History
Skip Abstract Section

Abstract

Routing congestion is one of the many factors that need to be minimized during the physical design phase of large integrated circuits. In this article, we propose a novel congestion estimation method, called MEDUSA, that consists of three parts: (1) a feature extraction and “hyper-image” encoding; (2) a congestion estimation method using a fixed-resolution convolutional neural network model that takes a tile of this hyper-image as input and makes accurate congestion predictions for a small region of the circuit; and (3) a sliding-window method for repeatedly applying this convolutional neural network on a layout, thereby producing higher-resolution congestion maps for arbitrarily large circuits. The proposed congestion estimation approach works with both 2D (collapsed) and 3D global routing. Using both quantitative metrics and qualitative visual inspection, congestion maps produced with MEDUSA show better accuracy than prior estimation techniques.

Global routers typically use estimation techniques during their first router iteration and then switch to using actual congestion information extracted from the intermediate router solutions. Experimental results within the same global router infrastructure show a significant impact on quality after the first routing iteration; other estimation techniques result in an average of 22% to 54% higher initial overflow counts. This initial quality improvement carries through to the final global routing solution, with other estimation techniques needing up to 5% more routing iterations and up to 3× more runtime, on average. Compared with other global routers, MEDUSA achieves comparable wire length results and lower total overflow counts (more legal global routing solutions) and is typically faster.

REFERENCES

  1. [1] ISPD. 2008. ISPD 2008 Global Routing Contest. Retrieved April 10, 2023 from http://www.ispd.cc/contests/08/ispd08rc.html.Google ScholarGoogle Scholar
  2. [2] Alawieh M. B., Li W., Lin Y., Singhal L., Iyer M. A., and Pan D. Z.. 2020. High-definition routing congestion prediction for large-scale FPGAs. In Proceedings of the 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC’20). 2631.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. [3] Chai Zhuomin, Zhao Yuxiang, Lin Yibo, Liu Wei, Wang Runsheng, and Huang Ru. 2022. CircuitNet: An open-source dataset for machine learning applications in electronic design automation (EDA). Science China Information Sciences 65 (2022), 227401. Google ScholarGoogle ScholarCross RefCross Ref
  4. [4] Chan Wei-Ting J., Ho Pei-Hsin, Kahng Andrew B., and Saxena Prashant. 2017. Routability optimization for industrial designs at sub-14Nm process nodes using machine learning. In Proceedings of the International Symposium on Physical Design.1521. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. [5] Chang Y., Lee Y., and Wang T.. 2008. NTHU-Route 2.0: A fast and stable global router. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 338343. Google ScholarGoogle ScholarCross RefCross Ref
  6. [6] Chen Gengjie, Pui Chak-Wa, Li Haocheng, and Young Evangeline F. Y.. 2020. Dr. CU: Detailed routing by sparse grid graph and minimum-area-captured path search. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 9 (2020), 19021915. Google ScholarGoogle ScholarCross RefCross Ref
  7. [7] Chen H., Hsu C., and Chang Y.. 2009. High-performance global routing with fast overflow reduction. In Proceedings of the Asia and South Pacific Design Automation Conference. 582587. Google ScholarGoogle ScholarCross RefCross Ref
  8. [8] Chu C. and Wong Y.. 2008. FLUTE: Fast lookup table based rectilinear steiner minimal tree algorithm for VLSI design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27, 1 (2008), 7083.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. [9] Dolgov Sergei, Volkov Alexander, Wang Lutong, and Xu Bangqi. 2019. 2019 CAD contest: LEF/DEF based global routing. In Proceedings of the 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’19). IEEE, Los Alamitos, CA, 14.Google ScholarGoogle ScholarCross RefCross Ref
  10. [10] Hart Peter E., Nilsson Nils J., and Raphael Bertram. 1968. A formal basis for the heuristic determination of minimum cost paths. IEEE Transactions on Systems Science and Cybernetics 4, 2 (1968), 100107. Google ScholarGoogle ScholarCross RefCross Ref
  11. [11] He X., Huang T., Xiao L., Tian H., Cui G., and Young E. F. Y.. 2011. Ripple: An effective routability-driven placer by iterative cell movement. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 7479. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. [12] Hsu Meng-Kai, Chou Sheng, Lin Tzu-Hen, and Chang Yao-Wen. 2011. Routability-driven analytical placement for mixed-size circuit designs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 8084. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. [13] Huang Yu-Hung, Xie Zhiyao, Fang Guan-Qi, Yu Tao-Chun, Ren Haoxing, Fang Shao-Yun, Chen Yiran, and Hu Jiang. 2019. Routability-driven macro placement with embedded CNN-based prediction model. In Proceedings of the 2019 Design, Automation, and Test in Europe Conference and Exhibition (DATE’19). 180185. Google ScholarGoogle ScholarCross RefCross Ref
  14. [14] Hung Wei-Tse, Huang Jun-Yang, Chou Yih-Chih, Tsai Cheng-Hong, and Chao Mango. 2020. Transforming global routing report into DRC violation map with convolutional neural network. In Proceedings of the 2020 International Symposium on Physical Design (ISPD’20). ACM, New York, NY, 5764. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15] Kahng Andrew B., Wang Lutong, and Xu Bangqi. 2022. TritonRoute-WXL: The open-source router with integrated DRC engine. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41, 4 (2022), 10761089. Google ScholarGoogle ScholarCross RefCross Ref
  16. [16] Kastner R., Bozorgzadeh E., and Sarrafzadeh M.. 2002. Pattern routing: Use and theory for increasing predictability and avoiding coupling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 21, 7 (July2002), 777790. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. [17] Kim Myung-Chul, Hu Jin, Lee Dong-Jin, and Markov Igor L.. 2011. A SimPLR method for routability-driven placement. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design.6773. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. [18] Kirby R., Godil S., Roy R., and Catanzaro B.. 2019. CongestionNet: Routing congestion prediction using deep graph neural networks. In Proceedings of the 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC’19). 217222.Google ScholarGoogle ScholarCross RefCross Ref
  19. [19] Lee C. Y.. 1961. An algorithm for path connections and its applications. IRE Transactions on Electronic Computers EC-10, 3 (1961), 346365.Google ScholarGoogle ScholarCross RefCross Ref
  20. [20] Liang Rongjian, Xiang Hua, Pandey Diwesh, Reddy Lakshmi, Ramji Shyam, Nam Gi-Joon, and Hu Jiang. 2020. DRC hotspot prediction at sub-10nm process nodes using customized convolutional network. In Proceedings of the 2020 International Symposium on Physical Design (ISPD’20). ACM, New York, NY, 135142. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. [21] Liu Jinwei, Pui Chak-Wa, Wang Fangzhou, and Young Evangeline F. Y.. 2020. CUGR: Detailed-routability-driven 3D global routing with probabilistic resource model. In Proceedings of the 2020 57th ACM/IEEE Design Automation Conference (DAC’20). 16. Google ScholarGoogle ScholarCross RefCross Ref
  22. [22] Liu W., Kao W., Li Y., and Chao K.. 2013. NCTU-GR 2.0: Multithreaded collision-aware global routing with bounded-length maze routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 5 (May2013), 709722. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. [23] Liu W., Li Y., and Koh C.. 2012. A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 713719. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. [24] Lou Jinan, Krishnamoorthy Shankar, and Sheng Henry S.. 2001. Estimating routing congestion using probabilistic analysis. In Proceedings of the International Symposium on Physical Design.112117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. [25] Melchert Jackson, Zhang Boyu, and Davoodi Azadeh. 2018. A comparative study of local net modeling using machine learning. In Proceedings of the 2018 on Great Lakes Symposium on VLSI (GLSVLSI’18). ACM, New York, NY, 273278. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. [26] Spindler P. and Johannes F. M.. 2007. Fast and accurate routing demand estimation for efficient routability-driven placement. In Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exhibition (DATE’07). 16. Google ScholarGoogle ScholarCross RefCross Ref
  27. [27] Tabrizi Aysa Fakheri, Darav Nima Karimpour, Rakai Logan, Bustany Ismail, Kennings Andrew, and Behjat Laleh. 2019. Eh? Predictor: A deep learning framework to identify detailed routing short violations from a placed netlist. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 6 (2019), 11771190.Google ScholarGoogle ScholarCross RefCross Ref
  28. [28] Tabrizi Aysa Fakheri, Darav Nima Karimpour, Xu Shuchang, Rakai Logan, Bustany Ismail, Kennings Andrew, and Behjat Laleh. 2018. A machine learning framework to identify detailed routing short violations from a placed netlist. In Proceedings of the Design Automation Conference. Article 48, 6 pages. Google ScholarGoogle Scholar
  29. [29] Westra Jurjen, Bartels Chris, and Groeneveld Patrick. 2004. Probabilistic congestion prediction. In Proceedings of the International Symposium on Physical Design.204209. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. [30] Xie Zhiyao, Huang Yu-Hung, Fang Guan-Qi, Ren Haoxing, Fang Shao-Yun, Chen Yiran, and Nvidia. 2018. RouteNet: Routability prediction for mixed-size designs using convolutional neural network. In Proceedings of the International Conference on Computer-Aided Design. Article 80, 8 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. [31] Xu Yue and Chu Chris. 2011. MGR: Multi-level global router. In Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’11). 250255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. [32] Xu Yue, Zhang Yanheng, and Chu Chris. 2009. FastRoute 4.0: Global router with efficient via minimization. In Proceedings of the Asia and South Pacific Design Automation Conference.576581. Google ScholarGoogle Scholar
  33. [33] Zhou Z., Zhu Z., Chen J., Ma Y., Yu B., Ho T., Lemieux G., and Ivanov A.. 2019. Congestion-aware global routing using deep convolutional generative adversarial networks. In Proceedings of the 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD’19). 16.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. MEDUSA: A Multi-Resolution Machine Learning Congestion Estimation Method for 2D and 3D Global Routing

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image ACM Transactions on Design Automation of Electronic Systems
              ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 5
              September 2023
              475 pages
              ISSN:1084-4309
              EISSN:1557-7309
              DOI:10.1145/3623508
              Issue’s Table of Contents

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 9 September 2023
              • Online AM: 1 April 2023
              • Accepted: 15 March 2023
              • Revised: 20 February 2023
              • Received: 14 August 2022
              Published in todaes Volume 28, Issue 5

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader

            Full Text

            View this article in Full Text.

            View Full Text