skip to main content
research-article

DRC-SG 2.0: Efficient Design Rule Checking Script Generation via Key Information Extraction

Published:08 September 2023Publication History
Skip Abstract Section

Abstract

Design Rule Checking (DRC) is a critical step in integrated circuit design. DRC requires formatted scripts as the input to design rule checkers. However, these scripts are manually generated in the foundry, which is tedious and error prone for generation of thousands of rules in advanced technology nodes. To mitigate this issue, we propose the first DRC script generation framework, leveraging a deep learning-based key information extractor to automatically identify essential arguments from rules and a script translator to organize the extracted arguments into executable DRC scripts. We further enhance the performance of the extractor with three specific design rule generation techniques and a multi-task learning-based rule classification module. Experimental results demonstrate that the framework can generate a single rule script in 5.46 ms on average, with the extractor achieving 91.1% precision and 91.8% recall on the key information extraction. Compared with the manual generation, our framework can significantly reduce the turnaround time and speed up process design closure.

REFERENCES

  1. [1] KLayout. Retrieved from https://www.klayout.de/doc/manual/drc.html.Google ScholarGoogle Scholar
  2. [2] LayoutEditor. Retrieved from https://www.layouteditor.org/layoutscript/api/drc.Google ScholarGoogle Scholar
  3. [3] Lin Yibo, Dhar Shounak, Li Wuxi, Ren Haoxing, Khailany Brucek, and Pan David Z.. 2019. DREAMPlace: Deep learning toolkit-enabled GPU acceleration for modern VLSI placement. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’19). 16.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. [4] Liu Siting, Sun Qi, Liao Peiyu, Lin Yibo, and Yu Bei. 2021. Global placement with deep learning-enabled explicit routability optimization. In Proceedings of the IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE’21). 18211824.Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Xie Zhiyao, Huang Yu-Hung, Fang Guan-Qi, Ren Haoxing, Fang Shao-Yun, Chen Yiran, and Hu Jiang. 2018. RouteNet: Routability prediction for mixed-size designs using convolutional neural network. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’18). 18.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. [6] Hyun Daijoon, Fan Yuepeng, and Shin Youngsoo. 2019. Accurate wirelength prediction for placement-aware synthesis through machine learning. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’19). 324327.Google ScholarGoogle ScholarCross RefCross Ref
  7. [7] Ma Yuzhe, Gao Jhih-Rong, Kuang Jian, Miao Jin, and Yu Bei. 2017. A unified framework for simultaneous layout decomposition and mask optimization. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’17). 8188.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. [8] Yang Haoyu, Li Shuhe, Deng Zihao, Ma Yuzhe, Yu Bei, and Young Evangeline F. Y.. 2020. GAN-OPC: Mask optimization with lithography-guided generative adversarial nets. In Proceedings of the IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’20). 28222834.Google ScholarGoogle ScholarCross RefCross Ref
  9. [9] Chen Guojin, Chen Wanli, Ma Yuzhe, Yang Haoyu, and Yu Bei. 2020. DAMO: Deep agile mask optimization for full chip scale. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’20). 19.Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. [10] Geng Hao, Zhong Wei, Yang Haoyu, Ma Yuzhe, Mitra Joydeep, and Yu Bei. 2020. SRAF insertion via supervised dictionary learning. In Proceedings of the IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’20). 28492859.Google ScholarGoogle ScholarCross RefCross Ref
  11. [11] Yang Haoyu, Su Jing, Zou Yi, Ma Yuzhe, Yu Bei, and Young Evangeline F. Y.. 2019. Layout hotspot detection with feature tensor generation and deep biased learning. In Proceedings of the IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’19). 11751187.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. [12] Geng Hao, Yang Haoyu, Zhang Lu, Miao Jin, Yang Fan, Zeng Xuan, and Yu Bei. 2020. Hotspot detection via attention-based deep layout metric learning. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’20). 18.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. [13] Chen Ran, Zhong Wei, Yang Haoyu, Geng Hao, Yang Fan, Zeng Xuan, and Yu Bei. 2021. Faster region-based hotspot detection. In Proceedings of the IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD’21). 669680.Google ScholarGoogle Scholar
  14. [14] Jiang Yiyang, Yang Fan, Zhu Hengliang, Yu Bei, Zhou Dian, and Zeng Xuan. 2019. Efficient layout hotspot detection via binarized residual neural network. In Proceedings of the 56th ACM/IEEE Design Automation Conference (DAC’19). 16.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15] Harris Christopher B. and Harris Ian G.. 2016. Glast: Learning formal grammars to translate natural language specifications into hardware assertions. In Proceedings of the IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE’16). 966971.Google ScholarGoogle ScholarCross RefCross Ref
  16. [16] Zhao Junchen and Harris Ian G.. 2019. Automatic assertion generation from natural language specifications using subtree analysis. In Proceedings of the IEEE/ACM Proceedings Design, Automation and Test in Eurpoe (DATE’19). 598601.Google ScholarGoogle ScholarCross RefCross Ref
  17. [17] Krishnamurthy Rahul and Hsiao Michael S.. 2020. Transforming natural language specifications to logical forms for hardware verification. In Proceedings of the IEEE 38th International Conference on Computer Design (ICCD’20). 393396.Google ScholarGoogle ScholarCross RefCross Ref
  18. [18] Tabrizi Aysa Fakheri, Rakai Logan, Darav Nima Karimpour, Bustany Ismail, Behjat Laleh, Xu Shuchang, and Kennings Andrew. 2018. A machine learning framework to identify detailed routing short violations from a placed netlist. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’18). 16. DOI:Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. [19] Islam Riadul and Shahjalal Md Asif. 2019. Late breaking results: Predicting DRC violations using ensemble random forest algorithm. In Proceedings of the ACM/IEEE Design Automation Conference (DAC’19). 12.Google ScholarGoogle Scholar
  20. [20] Zhu Binwu, Zhang Xinyun, Lin Yibo, Yu Bei, and Wong Martin. 2022. Efficient design rule checking script generation via key information extraction. In Proceedings of the ACM/IEEE 4th Workshop on Machine Learning for CAD (MLCAD’22). 7782. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  21. [21] Vaswani Ashish, Shazeer Noam, Parmar Niki, Uszkoreit Jakob, Jones Llion, Gomez Aidan N., Kaiser Łukasz, and Polosukhin Illia. 2017. Attention is all you need. In Proceedings of the Annual Conference on Neural Information Processing Systems (NIPS). 59986008.Google ScholarGoogle Scholar
  22. [22] Devlin Jacob, Chang Ming-Wei, Lee Kenton, and Toutanova Kristina. 2019. BERT: Pre-training of deep bidirectional transformers for language understanding. In Proceedings of the Annual Conference of the North American Chapter of the Association for Computational Linguistics (NAACL’19). 41714186.Google ScholarGoogle Scholar
  23. [23] Liu Peter J., Saleh Mohammad, Pot Etienne, Goodrich Ben, Sepassi Ryan, Kaiser Lukasz, and Shazeer Noam. 2018. Generating wikipedia by summarizing long sequences. In Proceedings of the International Conference on Learning Representations (ICLR’18).Google ScholarGoogle Scholar
  24. [24] Cer Daniel, Yang Yinfei, Kong Sheng-yi, Hua Nan, Limtiaco Nicole, John Rhomni St, Constant Noah, Guajardo-Céspedes Mario, Yuan Steve, Tar Chris, et al. 2018. Universal sentence encoder. arXiv preprint arXiv:1803.11175.Google ScholarGoogle Scholar
  25. [25] Yang Wei, Xie Yuqing, Lin Aileen, Li Xingyu, Tan Luchen, Xiong Kun, Li Ming, and Lin Jimmy. 2019. End-to-end open-domain question answering with BERTserini. In Proceedings of the Annual Conference of the North American Chapter of the Association for Computational Linguistics (NAACL’19).Google ScholarGoogle Scholar
  26. [26] Zhu Jinhua, Xia Yingce, Wu Lijun, He Di, Qin Tao, Zhou Wengang, Li Houqiang, and Liu Tieyan. 2019. Incorporating BERT into neural machine translation. In Proceedings of the International Conference on Learning Representations (ICLR’19).Google ScholarGoogle Scholar
  27. [27] Zhu Yukun, Kiros Ryan, Zemel Rich, Salakhutdinov Ruslan, Urtasun Raquel, Torralba Antonio, and Fidler Sanja. 2015. Aligning books and movies: Towards story-like visual explanations by watching movies and reading books. In Proceedings of the IEEE International Conference on Computer Vision (ICCV’15). 1927.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. [28] Bhanushali Kirti. 2014. Design Rule Development for FreePDK15: An Open Source Predictive Process Design Kit for 15nm FinFET Devices. Ph.D. Dissertation.Google ScholarGoogle Scholar
  29. [29] Kingsbury Paul R. and Palmer Martha. 2002. From TreeBank to PropBank. In Language Resources and Evaluation Conference (LREC). 19891993.Google ScholarGoogle Scholar
  30. [30] Baker Collin F., Fillmore Charles J., and Lowe John B.. 1998. The berkeley framenet project. In Proceedings of the Annual Meeting of the Association for Computational Linguistics (ACL’98). 8690.Google ScholarGoogle Scholar
  31. [31] QuillBot. Retrieved from https://quillbot.com.Google ScholarGoogle Scholar
  32. [32] Lafferty John, McCallum Andrew, and Pereira Fernando C. N.. 2001. Conditional random fields: Probabilistic models for segmenting and labeling sequence data. In Proceedings of the International Conference on Machine Learning (ICML’01).Google ScholarGoogle Scholar
  33. [33] Silvaco. Guardian. Retrieved from https://silvaco.com/wp-content/uploads/product/pdf/guardian_brief.pdf.Google ScholarGoogle Scholar
  34. [34] Kingma Diederik P. and Ba Jimmy. 2014. Adam: A method for stochastic optimization. arXiv preprint arXiv:1412.6980.Google ScholarGoogle Scholar
  35. [35] Paszke Adam, Gross Sam, Massa Francisco, Lerer Adam, Bradbury James, Chanan Gregory, Killeen Trevor, Lin Zeming, Gimelshein Natalia, Antiga Luca, et al. 2019. Pytorch: An imperative style, high-performance deep learning library. arXiv preprint arXiv:1912.01703.Google ScholarGoogle Scholar
  36. [36] Clark Lawrence T., Vashishtha Vinay, Shifren Lucian, Gujja Aditya, Sinha Saurabh, Cline Brian, Ramamurthy Chandarasekaran, and Yeric Greg. 2016. ASAP7: A 7-nm finFET predictive process design kit. Microelectr. J. 53 (2016), 105–115.Google ScholarGoogle Scholar
  37. [37] Zhou Jie and Xu Wei. 2015. End-to-end learning of semantic role labeling using recurrent neural networks. In Proceedings of the Annual Meeting of the Association for Computational Linguistics (ACL’15). 11271137.Google ScholarGoogle ScholarCross RefCross Ref
  38. [38] Peters Matthew E., Neumann Mark, Iyyer Mohit, Gardner Matt, Clark Christopher, Lee Kenton, and Zettlemoyer Luke. 2018. Deep contextualized word representations. In Proceedings of the Annual Conference of the North American Chapter of the Association for Computational Linguistics (NAACL’18). 22272237.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. DRC-SG 2.0: Efficient Design Rule Checking Script Generation via Key Information Extraction

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 5
      September 2023
      475 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3623508
      Issue’s Table of Contents

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 8 September 2023
      • Online AM: 6 May 2023
      • Accepted: 20 March 2023
      • Revised: 24 February 2023
      • Received: 9 October 2022
      Published in todaes Volume 28, Issue 5

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
    • Article Metrics

      • Downloads (Last 12 months)260
      • Downloads (Last 6 weeks)17

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    View Full Text