skip to main content
research-article

ObNoCs: Protecting Network-on-Chip Fabrics Against Reverse-Engineering Attacks

Published:09 September 2023Publication History
Skip Abstract Section

Abstract

Modern System-on-Chip designs typically use Network-on-Chip (NoC) fabrics to implement coordination among integrated hardware blocks. An important class of security vulnerabilities involves a rogue foundry reverse-engineering the NoC topology and routing logic. In this paper, we develop an infrastructure, ObNoCs, for protecting NoC fabrics against such attacks. ObNoCs systematically replaces router connections with switches that can be programmed after fabrication to induce the desired topology. Our approach provides provable redaction of NoC functionality: switch configurations induce a large number of legal topologies, only one of which corresponds to the intended topology. We implement the ObNoCs methodology on Intel Quartus™ Platform, and experimental results on realistic SoC designs show that the architecture incurs minimal overhead in power, resource utilization, and system latency.

REFERENCES

  1. [1] [n. d.]. Intel Baytrail Products. https://ark.intel.com/content/www/us/en/ark/products/codename/55844/bay-trail.htmlGoogle ScholarGoogle Scholar
  2. [2] [n. d.]. Intel Quartus Prime Software. https://www.intel.com/content/www/us/en/products/details/fpga/development-tools/quartus-prime.html/. [Online].Google ScholarGoogle Scholar
  3. [3] [n. d.]. Intel® Agilex™ I-Series FPGA and SoC FPGA. https://www.intel.com/content/www/us/en/products/details/fpga/agilex/i-series/docs.htmlGoogle ScholarGoogle Scholar
  4. [4] Abdulrahman A., Abuadbba A. S., Aldabbagh A., and Hasan O.. 2019. Sweep to the secret: A constant propagation attack on logic locking. In Proceedings of the 2019 IEEE Asian Hardware-Oriented Security and Trust Symposium (AsianHOST’19). IEEE, 16. Google ScholarGoogle ScholarCross RefCross Ref
  5. [5] Albartus Niels, Bayrak Ali, and Zohner Michael. 2020. Dana universal dataflow analysis for gate-level netlist reverse engineering. IACR Transactions on Cryptographic Hardware and Embedded Systems 2020, 2 (2020), 129155.Google ScholarGoogle Scholar
  6. [6] Alrahis Lilas, Patnaik Satwik, Shafique Muhammad, and Sinanoglu Ozgur. 2021. MuxLink: Circumventing learning-resilient MUX-locking using graph neural network-based link prediction. 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 694699.Google ScholarGoogle Scholar
  7. [7] Ancajas Dean Michael, Perez Harold John, Garcia James Angelo, Isidro Paolo Jonathan, Garcia Jeffrey Jade, Marcelino Marco Angelo, Salvador Rafael, Villanueva Rianne, and Flores Prospero Jr.. 2014. Fort-NoCs: Mitigating the threat of a compromised NoC. In Proceedings of the 51st Annual Design Automation Conference (DAC’14). 16.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. [8] Azriel Leonid, Speith Julian, Albartus Nils, Ginosara Ran, Mendelson Avi, and Paar Christof. 2021. A Survey of Algorithmic Methods in IC Reverse Engineering. Cryptology ePrint Archive, Paper 2021/1278. https://eprint.iacr.org/2021/1278Google ScholarGoogle ScholarCross RefCross Ref
  9. [9] Botero Ulbert J., Wilson Ronald, Lu Hangwei, Rahman Mir Tanjidur, Mallaiyan Mukhil A., Ganji Fatemeh, Asadizanjani Navid, Tehranipoor Mark M., Woodard Damon L., and Forte Domenic. 2021. Hardware trust and assurance through reverse engineering: A tutorial and outlook from image analysis and machine learning perspectives. J. Emerg. Technol. Comput. Syst. 17, 4, Article 62 (jun2021), 53 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. [10] Chakraborty Rajat Subhra and Bhunia Swarup. 2009. HARPOON: An obfuscation-based SoC design methodology for hardware protection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28, 1 (2009), 97109. Google ScholarGoogle ScholarCross RefCross Ref
  11. [11] Chakraborty Rajat Subhra and Bhunia Swarup. 2010. RTL hardware IP protection using key-based control and data flow obfuscation. In 2010 23rd International Conference on VLSI Design. 405410. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. [12] Charles Steev and Mishra Prabhat. 2020. Securing network-on-chip using incremental cryptography. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’20). IEEE, 5358.Google ScholarGoogle ScholarCross RefCross Ref
  13. [13] Nath A. P. Deb, Kumar S., and Mukherjee S.. 2020. Security assurance of system-on-chip designs with NoC fabrics. IEEE Signal Processing Society Newsletter 37, 5 (2020), 3136. Google ScholarGoogle ScholarCross RefCross Ref
  14. [14] Engels Sebastian, El-Moussa Fadi A., Rosenblatt Joseph, Homescu Andreea, Schneider Thomas, and Katzenbeisser Stefan. 2019. The end of logic locking? A critical view on the security of logic locking. IACR Cryptology ePrint Archive 2019 (2019), 747.Google ScholarGoogle Scholar
  15. [15] Gebotys C. H. and Gebotys R. J.. 2003. A framework for security on NoC technologies. In IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings.113117. Google ScholarGoogle ScholarCross RefCross Ref
  16. [16] Gomez Hector, Duran Ckristian, and Roa Elkim. 2019. Defeating silicon reverse engineering using a layout-level standard cell camouflage. IEEE Transactions on Consumer Electronics 65, 1 (2019), 109118. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. [17] Hofmann Klaus. 2012. Network-on-chip: Challenges for the interconnect and I/O-architecture. In 2012 International Conference on High Performance Computing & Simulation (HPCS’12). 252253. Google ScholarGoogle ScholarCross RefCross Ref
  18. [18] Holler Mirko, Odstrcil Michal, Guizar-Sicairos Manuel, Lebugle Maxime, Müller Elisabeth, Finizio Simone, Tinti Gemma, David Christian, Zusman Joshua, Unglaub Walter G., Bunk Oliver, Raabe Jörg, Levi A. F. J., and Aeppli Gabriel. 2019. Three-dimensional imaging of integrated circuits with macro- to nanoscale zoom. Nature Electronics 2 (2019), 464470.Google ScholarGoogle ScholarCross RefCross Ref
  19. [19] Indrusiak Leandro Soares, Harbin James, and Sepulveda Martha Johanna. 2017. Side-channel attack resilience through route randomisation in secure real-time Networks-on-Chip. In 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC’17). 18. Google ScholarGoogle ScholarCross RefCross Ref
  20. [20] Juretus Kyle and Savidis Ioannis. 2020. Characterization of in-cone logic locking resiliency against the SAT attack. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 8 (2020), 16071620. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. [21] Jyv Manoj Kumar, Swain Ayass Kant, SudeendraKumar K, Sahoo Sauvagya Ranjan, and Mahapatra Kamala Kanta. 2018. Run time mitigation of performance degradation hardware Trojan attacks in network on chip. 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 738743.Google ScholarGoogle Scholar
  22. [22] Kamali Hadi Mardani, Azar Kimia Zamiri, Farahmandi Farimah, and Tehranipoor Mark. 2022. Advances in Logic Locking: Past, Present, and Prospects. Cryptology ePrint Archive, Paper 2022/260. https://eprint.iacr.org/2022/260 https://eprint.iacr.org/2022/260Google ScholarGoogle Scholar
  23. [23] Kamali Hadi Mardani, Azar Kimia Zamiri, Homayoun Houman, and Sasan Avesta. 2019. Full-lock: Hard distributions of SAT instances for obfuscating circuits using fully configurable logic and routing blocks. In Proceedings of the 56th Annual Design Automation Conference 2019 (Las Vegas, NV, USA) (DAC’19). Association for Computing Machinery, New York, NY, USA, Article 89, 6 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. [24] Kolhe Gaurav, Salehi Soheil, Sheaves Tyler David, Homayoun Houman, Rafatirad Setareh, Sai Manoj P D, and Sasan Avesta. 2021. Securing hardware via dynamic obfuscation utilizing reconfigurable interconnect and logic blocks. In 2021 58th ACM/IEEE Design Automation Conference (DAC’21). 229234. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. [25] Li Leon and Orailoglu Alex. 2023. Redundancy attack: Breaking logic locking through oracleless rationality analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42, 4 (2023), 10441057. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. [26] Massad Mohamed El, Zhang Jun, Garg Siddharth, and Tripunitara Mahesh V.. 2017. Logic locking for secure outsourced chip fabrication: A new attack and provably secure defense mechanism. ArXiv abs/1703.10187 (2017).Google ScholarGoogle Scholar
  27. [27] Meade Travis, Jin Yier, Tehranipoor Mark, and Zhang Shaojie. 2016. Gate-level netlist reverse engineering for hardware security: Control logic register identification. In 2016 IEEE International Symposium on Circuits and Systems (ISCAS’16). 13341337. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. [28] Meng Xingyu, Raj Kshitij, Ray Sandip, and Basu Kanad. 2023. SeVNoC: Security validation of system-on-chip designs with NoC fabrics. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42, 2 (2023), 672682. Google ScholarGoogle ScholarCross RefCross Ref
  29. [29] Pathania Anuj and Henkel Jörg. 2018. Task scheduling for many-cores with S-NUCA caches. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE’18). 557562. Google ScholarGoogle ScholarCross RefCross Ref
  30. [30] Reinbrecht Christian, Puschner Peter, and Steger Christian. 2020. Guard-NoC: A protection against side-channel attacks for MPSoCs. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’20). IEEE, 4752.Google ScholarGoogle ScholarCross RefCross Ref
  31. [31] Reinbrecht Christian, Puschner Peter, Steger Christian, and Krieg Thomas. 2016. GOSSIP NoC–avoiding timing side-channel attacks through traffic management. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’16). IEEE, 197202.Google ScholarGoogle ScholarCross RefCross Ref
  32. [32] Reinbrecht Cezar, Susin Altamiro, Bossuet Lilian, Sigl Georg, and Sepúlveda Johanna. 2016. Side channel attack on NoC-based MPSoCs are practical: NoC Prime+Probe attack. In 2016 29th Symposium on Integrated Circuits and Systems Design (SBCCI’16). 16. Google ScholarGoogle ScholarCross RefCross Ref
  33. [33] Sepúlveda Johanna, Zankl Andreas, Flórez Daniel, and Sigl Georg. 2017. Towards protected MPSoC communication for information protection against a malicious NoC. Procedia Computer Science 108 (2017), 11031112. Google ScholarGoogle ScholarCross RefCross Ref
  34. [34] Shamsi Kaveh, Li Meng, Meade Travis, Zhao Zheng, Pan David Z., and Jin Yier. 2017. Cyclic obfuscation for creating SAT-Unresolvable circuits. In Proceedings of the on Great Lakes Symposium on VLSI 2017 (Banff, Alberta, Canada) (GLSVLSI’17). Association for Computing Machinery, New York, NY, USA, 173178. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. [35] Shamsi Kaveh, Li Meng, Pan David Z., and Jin Yier. 2018. Cross-lock: Dense layout-level interconnect locking using cross-bar architectures. In Proceedings of the 2018 on Great Lakes Symposium on VLSI (Chicago, IL, USA) (GLSVLSI’18). Association for Computing Machinery, New York, NY, USA, 147152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. [36] Shihab Mustafa M., Tian Jingxiang, Reddy Gaurav Rajavendra, Hu Bo, Swartz William, Schaefer Benjamin Carrion, Sechen Carl, and Makris Yiorgos. 2019. Design obfuscation through selective post-fabrication transistor-level programming. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE’19). 528533. Google ScholarGoogle ScholarCross RefCross Ref
  37. [37] Sisejkovic Dominik, Merchant Farhad, Reimann Lennart M., Srivastava Harshit, Hallawa Ahmed, and Leupers Rainer. 2021. Challenging the security of logic locking schemes in the era of deep learning: A neuroevolutionary approach. J. Emerg. Technol. Comput. Syst. 17, 3, Article 30 (may2021), 26 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. [38] Sisejkovic Dominik, Reimann Lennart M., Moussavi Elmira, Merchant Farhad, and Leupers Rainer. 2021. Logic locking at the frontiers of machine learning: A survey on developments and opportunities. In 2021 IFIP/IEEE 29th International Conference on Very Large Scale Integration (VLSI-SoC’21). 16. Google ScholarGoogle ScholarCross RefCross Ref
  39. [39] Soares Ismael L., Pereira César A. M., and Carro Luigi. 2019. Side-channel protected MPSoC through secure real-time networks-on-chip. Microprocessors and Microsystems 68 (2019), 102888.Google ScholarGoogle Scholar
  40. [40] Subramanyan Praveen, Bhunia Swarup, and Mukhopadhyay Debdeep. 2015. Evaluating the security of logic encryption algorithms. In 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST’15). IEEE, 112117.Google ScholarGoogle Scholar
  41. [41] Subramanyan Pramod, Tsiskaridze Nestan, Li Wenchao, Gascón Adrià, Tan Wei Yang, Tiwari Ashish, Shankar Natarajan, Seshia Sanjit A., and Malik Sharad. 2014. Reverse engineering digital circuits using structural and functional analyses. IEEE Transactions on Emerging Topics in Computing 2, 1 (2014), 6380. Google ScholarGoogle ScholarCross RefCross Ref
  42. [42] Torrance Randy and James Dick. 2011. The state-of-the-art in semiconductor reverse engineering. In 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC’11). 333338.Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. [43] Wassel Hassan M. G., Gao Ying, Oberg Jason K., Huffmire Ted, Kastner Ryan, Chong Frederic T., and Sherwood Timothy. 2013. SurfNoC: A low latency and provably non-interfering approach to secure networks-on-chip. SIGARCH Comput. Archit. News 41, 3 (jun2013), 583594. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. [44] Yasin Muhammad, Mazumdar Bodhisatwa, Rajendran Jeyavijayan J. V., and Sinanoglu Ozgur. 2016. SARLock: SAT attack resistant logic locking. In Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, HOST 2016. Institute of Electrical and Electronics Engineers Inc., 236241. Google ScholarGoogle ScholarCross RefCross Ref
  45. [45] Yasin Muhammad, Rajendran Jeyavijayan Jv, Sinanoglu Ozgur, and Karri Ramesh. 2016. On improving the security of logic locking. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 9 (Sept.2016), 14111424. Publisher Copyright: © 1982-2012 IEEE..Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. [46] Yasin Muhammad, Sengupta Abhrajit, Nabeel Mohammed Thari, Ashraf Mohammed, Rajendran Jeyavijayan (JV), and Sinanoglu Ozgur. 2017. Provably-secure logic locking: From theory to practice. In Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications Security (Dallas, Texas, USA) (CCS’17). Association for Computing Machinery, New York, NY, USA, 16011618. Google ScholarGoogle ScholarDigital LibraryDigital Library
  47. [47] Zhang Junyao, Bogdan Paul, and Nazarian Shahin. 2023. C-SAR: SAT attack resistant logic locking for RSFQ circuits. ArXiv abs/2301.10216 (2023).Google ScholarGoogle Scholar

Index Terms

  1. ObNoCs: Protecting Network-on-Chip Fabrics Against Reverse-Engineering Attacks

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 22, Issue 5s
      Special Issue ESWEEK 2023
      October 2023
      1394 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/3614235
      • Editor:
      • Tulika Mitra
      Issue’s Table of Contents

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 9 September 2023
      • Accepted: 30 June 2023
      • Revised: 2 June 2023
      • Received: 23 March 2023
      Published in tecs Volume 22, Issue 5s

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
    • Article Metrics

      • Downloads (Last 12 months)240
      • Downloads (Last 6 weeks)48

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Full Text

    View this article in Full Text.

    View Full Text