skip to main content
10.1145/3610396.3618089acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Network-On-Chip Performance Evaluation by Synchronous Circuit Simulation

Published:28 October 2023Publication History

ABSTRACT

The increasing number of cores per processor requires powerful on-chip networks to take full advantage of the processor performance. However, the wide variety of available networks with possibly varying communication latencies, makes a realistic performance evaluation very difficult. Of course, there are already many publications and tools available for network evaluation, but most of them are limited to a few specific architectures.

This paper presents a framework for the performance evaluation of networks-on-chip architectures implemented in SystemVerilog. The framework uses Verilator to provide cycle- and gate-accurate simulation of network behavior under load. Different traffic generation patterns allow a variety of network performance statistics to be determined. The number of cycles each message spends between creation and reception is recorded during the simulation and then combined with timing estimates from XILINX Vivado to calculate an expected network bandwidth. Other performance data, such as the maximum I/O capacity of a single network node, can also be obtained from the message patterns provided by the traffic generator. To demonstrate the use of our framework, we compare and evaluate the open source 2D mesh network RaveNoc with a blocking Ω-network and a nonblocking fat tree network.

References

  1. A. Agarwal. 1991. Limits on interconnection network performance. IEEE Transactions on Parallel and Distributed Systems 2, 4 (October 1991), 398--412.Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. M. Baldi, F. Corno, M. Rebaudengo, P. Prinetto, M. Sonza Reorda, and G. Squillero. 1997. Simulation-based verification of network protocols performance. In Correct Hardware Design and Verification Methods (CHARME) (IFIP Conference Proceedings, Vol. 105), H.F. Li and D.K. Probst (Eds.). Chapman & Hall, Montréal, Québec, Canada, 236--251.Google ScholarGoogle Scholar
  3. W.J. Dally and B. Towles. 2004. Principles and Practices of Interconnection Networks. Morgan Kaufmann.Google ScholarGoogle Scholar
  4. A. Eslami Kiasari, A. Jantsch, M. Bekooij, A. Burns, and Z. Lu. 2012. Analytical approaches for performance evaluation of networks-on-chip. In Compilers, Architecture, and Synthesis for Embedded Systems (CASES), A. Jerraya, L. Carloni, V. Mooney, and R. Rabbah (Eds.). ACM, Tampere, Finland, 211--212.Google ScholarGoogle Scholar
  5. A. Galimberti, F. Testa, and A. Zeni. 2017. RTL Router Design in SystemVerilog. Project Thesis, Politecnico di Milano - Department of Electronics, Information and Bioengineering, Milano, Italy.Google ScholarGoogle Scholar
  6. J.L. Hennessy and D.A. Patterson. 2019. Computer Architecture -- A Quantitative Approach (6 ed.). Morgan Kaufmann Publishers.Google ScholarGoogle Scholar
  7. Anderson Ignacio. 2022. RaveNoC - configurable Network-on-Chip. https://github.com/aignacio/ravenocGoogle ScholarGoogle Scholar
  8. T.Jain. 2019. Nonblocking On-Chip Interconnection Networks. Ph.D. Dissertation. Department of Computer Science, University of Kaiserslautern, Germany. PhD.Google ScholarGoogle Scholar
  9. T. Jain and K. Schneider. 2018. Routing Partial Permutations in Interconnection Networks based on Radix Sorting. In International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC). IEEE Computer Society, Lille, France.Google ScholarGoogle Scholar
  10. T. Jain, K. Schneider, and A. Jain. 2017. An Efficient Self-Routing and Non-Blocking Interconnection Network on Chip. In Network on Chip Architectures (NoCArc), M. Ebrahimi and T. Hollstein (Eds.). ACM, Boston, MA, USA, 4:1--4:6.Google ScholarGoogle Scholar
  11. S. Khan, S. Anjum, U.A. Gulzari, and F.S. Torres. 2018. Comparative Analysis of Network-on-Chip Simulation Tools. IET Computers and Digital Techniques 12, 1 (January 2018), 1--38.Google ScholarGoogle ScholarCross RefCross Ref
  12. M.Lai, L. Gao, N. Xiao, and Z.Wang. 2009. An accurate and efficient performance analysis approach based on queuing model for network on chip. In International Conference on Computer-Aided Design (ICCAD). ACM/IEEE Computer Society, San Jose, California, USA, 563--570.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. E. Lakshmi Prasad, A.R. Reddy, and M.N. Giri Prasad. 2016. Performance comparison of network on chip methods. In International Conference on Green Engineering and Technologies (IC-GET). IEEE Computer Society, Coimbatore, India.Google ScholarGoogle Scholar
  14. D.H. Lawrie. 1975. Access and Alignment of Data in an Array Processor. IEEE Transactions on Computers (T-C) 24 (December 1975), 1145--1155.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. W. Liu, J. Xu, X. Wu, Y. Ye, X. Wang, W. Zhang, M. Nikdast, and Z. Wang. 2011. A NoC Traffic Suite Based on Real Applications. In IEEE Computer Society Annual Symposium on VLSI. IEEE Computer Society, Chennai, India, 66--71.Google ScholarGoogle Scholar
  16. M. Manderscheid, G. Weiss, and R. Knorr. 2015. Verifying network performance of cyber-physical systems with multiple runtime configurations. In Embedded Software (EMSOFT), A. Girault and N. Guan (Eds.). IEEE Computer Society, Amsterdam, Netherlands, 247--255.Google ScholarGoogle Scholar
  17. U.Y. Ogras and R. Marculescu. 2007. Analytical router modeling for networks-on-chip performance analysis. In Design, Automation and Test in Europe (DATE). IEEE Computer Society, Nice, France, 1096--1101.Google ScholarGoogle Scholar
  18. J.H. Patel. 1981. Performance of Processor-Memory Interconnections for Multiprocessors. IEEE Trans. Comput. 30, 10 (October 1981), 771--780.Google ScholarGoogle Scholar
  19. Z. Qian, D.-C. Juan, P. Bogdan, C.-Y. Tsui, D. Marculescu, and R. Marculescu. 2013. SVR-NoC: a performance analysis tool for network-on-chips using learning-based support vector regression model. In Design, Automation and Test in Europe (DATE). EDA Consortium/ACM, Grenoble, France, 354--357.Google ScholarGoogle Scholar
  20. E. Salminen. 2010. On Design and Comparison of On-Chip Networks. Ph.D. Dissertation. Tampere University of Technology. PhD.Google ScholarGoogle Scholar
  21. E. Salminen, A. Kulmala, and T.D. Hamalainen. 2007. On network-on-chip comparison. In Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD). IEEE Computer Society, Lübeck, Germany.Google ScholarGoogle Scholar
  22. A.T. Tran and B.M. Baas. 2012. NoCTweak: a Highly Parameterizable Simulator for Early Exploration of Performance and Energy of Networks On-Chip. Technical Report ECE-VCL-2012-2. VLSI Computation Lab, ECE Department, University of California at Davis.Google ScholarGoogle Scholar
  23. veripool.org. 2023. Welcome to Verilator. https://www.veripool.org/verilator/Google ScholarGoogle Scholar
  24. H. Wang, X. Zhu, L. Peh, and S. Malik. 2002. Orion: a power-performance simulator for interconnection networks. In Microarchitecture (MICRO). IEEE Computer Society, Istanbul, Turkey, 294--305.Google ScholarGoogle Scholar
  25. Leon Werner. 2023. Verilator based NoC performance evaluation. https://gitlab.com/thesis80/verilator-based-noc-performance-evaluationGoogle ScholarGoogle Scholar
  26. Y. Xue and P. Bogdan. 2016. Scalable and realistic benchmark synthesis for efficient NoC performance evaluation: a complex network analysis approach. In International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). ACM, Pittsburgh, Pennsylvania, USA, 2:1--2:10.Google ScholarGoogle Scholar

Index Terms

  1. Network-On-Chip Performance Evaluation by Synchronous Circuit Simulation

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            NoCArc '23: Proceedings of the 16th International Workshop on Network on Chip Architectures
            October 2023
            61 pages
            ISBN:9798400703072
            DOI:10.1145/3610396

            Copyright © 2023 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 28 October 2023

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Research
            • Refereed limited

            Acceptance Rates

            NoCArc '23 Paper Acceptance Rate5of14submissions,36%Overall Acceptance Rate46of122submissions,38%

            Upcoming Conference

            MICRO '24
          • Article Metrics

            • Downloads (Last 12 months)69
            • Downloads (Last 6 weeks)3

            Other Metrics

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader