skip to main content
research-article

Modified Decoupled Sense Amplifier with Improved Sensing Speed for Low-Voltage Differential SRAM

Published:16 October 2023Publication History
Skip Abstract Section

Abstract

A modified decoupled sense amplifier (MDSA) and modified decoupled sense amplifier with NMOS foot-switch is proposed for improved sensing in differential SRAM for low-voltage operation at the 22-nm technology node. The MDSA and MDSANF both offer notable improvements to read delay over conventional voltage and current sense amplifiers. At an operating voltage of 0.8 V, the MDSA exhibited a reduced delay of 28.6%, 41.79%, 37.74%, and 30.94% compared to modified clamped sense amplifier (MCSA), double tail sense amplifier (DTSA), modified hybrid sense amplifier (MHSA), and conventional latch-type sense amplifier (LSA), respectively. Similarly, the MDSANF demonstrated a delay reduction of 26.13%, 39.78%, 35.58%, and 28.55% over MCSA, DTSA, MHSA, and LSA, respectively. To validate the performance, the MDSA and MDSANF are evaluated using the variation in delay and power consumption across various supply voltages, process corners, input differential bit line voltage (ΔVBL), bit line capacitance (CBL), and the sizing of decoupling transistors. Monte Carlo simulations were conducted to analyse the impact of voltage threshold variations on transistor mismatch which leads to an increased occurrence of read failures and a decline in SRAM yield. The performance analysis of various voltage and current sense amplifiers is presented along with MDSA and MDSANF. Area consideration for selection of sensing scheme is important and as such layout of MDSA and MDSANF was performed conforming to the design rules and estimated area for MDSA is 0.297 μm2 whereas MDSANF occupies 0.5192 μm2.

REFERENCES

  1. Abbasian Erfan and Gholipour Morteza. 2022. A low-leakage single-bitline 9T SRAM cell with read-disturbance removal and high writability for low-power biomedical applications. International Journal of Circuit Theory and Applications 50, 5 (2022), 15371556.Google ScholarGoogle ScholarCross RefCross Ref
  2. University Nanoscale Integration Arizona State and Group Modeling. 2008. Predictive Technology Models. http://ptm.asu.edu/latest.htmlGoogle ScholarGoogle Scholar
  3. Bhavnagarwala Azeez J., Tang Xinghai, and Meindl James D.. 2001. The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE Journal of Solid-State Circuits 36, 4 (2001).Google ScholarGoogle ScholarCross RefCross Ref
  4. Blalock Travis N. and Jaeger Richard C.. 1991. A high-speed clamped bit-line current-mode sense amplifier. IEEE Journal of Solid-State Circuits 26, 4 (1991), 542548.Google ScholarGoogle ScholarCross RefCross Ref
  5. Chee P. Y., Liu P. C., and Siek L.. 1992. High-speed hybrid current-mode sense amplifier for CMOS SRAMs. Electronics Letters 9, 28 (1992), 871873.Google ScholarGoogle ScholarCross RefCross Ref
  6. Chen Jian, Zhao Wenfeng, Wang Yuqi, and Ha Yajun. 2021. Analysis and optimization strategies toward reliable and high-speed 6T compute SRAM. IEEE Transactions on Circuits and Systems I: Regular Papers 68, 4 (2021), 15201531.Google ScholarGoogle ScholarCross RefCross Ref
  7. Do A. T., Kong Z. H., and Yeo K. S.. 2007. 0.9 V current-mode sense amplifier using concurrent bit-and data-line tracking and sensing techniques. Electronics Letters 43, 25 (2007), 14211422.Google ScholarGoogle ScholarCross RefCross Ref
  8. Dutt Divya, Mittal Poornima, Rawat Bhawna, and Kumar Brijesh. 2022. Design and performance analysis of high-performance low power voltage mode sense amplifier for static RAM. Advances in Electrical and Electronic Engineering 20, 3 (2022), 285293.Google ScholarGoogle ScholarCross RefCross Ref
  9. Fragasse Roman, Tantawy Ramy, Dupaix Brian, Dean Trevor, Disabato Daron, Belz Matthew R., Smith Dale, McCue Jamin, and Khalil Waleed. 2019. Analysis of SRAM enhancements through sense amplifier capacitive offset correction and replica self-timing. IEEE Transactions on Circuits and Systems I: Regular Papers 66 (2019). Issue 6. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  10. Hernández Carles, Roca Antoni, Flich Jose, Silla Federico, and Duato Jose. 2011. Characterizing the impact of process variation on 45 nm NoC-based CMPs. J. Parallel and Distrib. Comput. 71, 5 (2011), 651663.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Khellah Muhammad M. and Elmasry Mohamed I.. 2001. A low-power high-performance current-mode multiport SRAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 9, 5 (2001), 590598.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Kobayashi Tsuguo, Nogami Kazutaka, Shirotori Tsukasa, and Fujimoto Yukihiro. 1993. A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture. IEICE Transactions on Electronics 76, 5 (1993), 863867.Google ScholarGoogle Scholar
  13. Lorenzo Rohit and Paily Roy. 2022. Half-selection disturbance free 8T low leakage SRAM cell. International Journal of Circuit Theory and Applications 50, 5 (2022), 15571575.Google ScholarGoogle ScholarCross RefCross Ref
  14. Mittal Poornima, Rawat Bhawna, and Kumar Nishant. 2022. Tetra-variate scrutiny of diverse multiplexer techniques for designing a barrel shifter for low power digital circuits. Microprocessors and Microsystems 90 (2022), 104491.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Patel Dhruv, Neale Adam, Wright Derek, and Sachdev Manoj. 2021. Body biased sense amplifier with auto-offset mitigation for low-voltage SRAMs. IEEE Transactions on Circuits and Systems I: Regular Papers 68, 8 (2021), 32653278.Google ScholarGoogle ScholarCross RefCross Ref
  16. Rawat Bhawna and Mittal Poornima. 2021. Single bit line accessed high-performance ultra-low voltage operating 7T static random access memory cell with improved read stability. International Journal of Circuit Theory and Applications 49, 5 (2021), 14351449.Google ScholarGoogle ScholarCross RefCross Ref
  17. Rawat Bhawna and Mittal Poornima. 2022a. A comprehensive analysis of different 7T SRAM topologies to design a 1R1W bit interleaving enabled and half select free cell for 32 nm technology node. Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences 478, 2259 (2022), 20210745.Google ScholarGoogle ScholarCross RefCross Ref
  18. Rawat Bhawna and Mittal Poornima. 2022b. A reliable and temperature variation tolerant 7T SRAM cell with single bitline configuration for low voltage application. Circuits, Systems, and Signal Processing 41, 5 (2022), 27792801.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Rawat Bhawna and Mittal Poornima. 2022c. A switching NMOS based single ended sense amplifier for high density SRAM applications. ACM Trans. Des. Autom. Electron. Syst. 28, 3 (2023), 14 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Reniwal Bhupendra Singh, Vijayvargiya Vikas, Singh Pooran, Yadav Nand Kishor, Vishvakarma Santosh Kumar, and Dwivedi Devesh. 2019. An auto-calibrated sense amplifier with offset prediction approach for energy-efficient SRAM. Circuits, Systems, and Signal Processing 38 (2019). DOI:Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Reyserhove Hans and Dehaene Wim. 2017. A differential transmission gate design flow for minimum energy sub-10-pJ/Cycle ARM Cortex-M0 MCUs. IEEE Journal of Solid-State Circuits 52, 7 (2017), 19041914. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  22. Schinkel Daniel, Mensink Eisse, Klumperink Eric, Tuijl Ed Van, and Nauta Bram. 2007. A double-tail latch-type voltage sense amplifier with 18ps setup+ hold time. In 2007 IEEE International Solid-state Circuits Conference. Digest of Technical Papers. IEEE, 314605.Google ScholarGoogle ScholarCross RefCross Ref
  23. Seevinck Evert, Beers Petrus J. van, and Ontrop Hans. 1991. Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM’s. IEEE Journal of Solid-State Circuits 26, 4 (1991), 525536.Google ScholarGoogle ScholarCross RefCross Ref
  24. Shah Jaspal Singh, Nairn David, and Sachdev Manoj. 2013. An energy-efficient offset-cancelling sense amplifier. IEEE Transactions on Circuits and Systems II: Express Briefs 60, 8 (2013), 477481. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  25. Sharma Vibhu, Cosemans Stefan, Ashouei Maryam, Huisken Jos, Catthoor Francky, and Dehaene Wim. 2011. A 4.4 pJ/access 80 MHz, 128 kbit variability resilient SRAM with multi-sized sense amplifier redundancy. IEEE Journal of Solid-State Circuits 46, 10 (2011), 24162430.Google ScholarGoogle ScholarCross RefCross Ref
  26. Shen Shan, Xu Hao, Zhou Yongliang, and Yu Wenjian. 2022. A single-ended offset-canceling sense amplifier enabling wide-voltage operations. IEEE Transactions on Circuits and Systems II: Express Briefs (2022), 1. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  27. Verma Naveen and Chandrakasan Anantha P.. 2007. A 65nm 8T sub-Vt SRAM employing sense-amplifier redundancy. In 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. IEEE, 328606.Google ScholarGoogle ScholarCross RefCross Ref
  28. Wang Jinn-Shyan and Lee Hong-Yu. 1998. A new current-mode sense amplifier for low-voltage low-power SRAM. In 11th Annual IEEE International ASIC Conference (Cat. No. 98TH8372). IEEE, 163167.Google ScholarGoogle ScholarCross RefCross Ref
  29. Wicht Bernhard, Nirschl Thomas, and Schmitt-Landsiedel Doris. 2004. Yield and speed optimization of a latch-type voltage sense amplifier. IEEE Journal of Solid-State Circuits 39, 7 (2004), 11481158.Google ScholarGoogle ScholarCross RefCross Ref
  30. Zhao Yue, Wang Jinkai, Tong Zhongzhen, Wu Xiulong, Peng Chunyu, Lu Wenjuan, Zhao Qiang, and Lin Zhiting. 2022. An offset cancellation technique for SRAM sense amplifier based on relation of the delay and offset. Microelectronics Journal 128 (102022), 105578.Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Zhu Hong and Kursun Volkan. 2014. A comprehensive comparison of data stability enhancement techniques with novel nanoscale SRAM cells under parameter fluctuations. IEEE Transactions on Circuits and Systems I: Regular Papers 61, 5 (2014), 14731484. DOI:Google ScholarGoogle ScholarCross RefCross Ref
  32. Zhu Jiafeng, Bai Na, and Wu Jianhui. 2013. A review of sense amplifiers for static random access memory. IETE Technical Review 30, 1 (2013), 7281.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Modified Decoupled Sense Amplifier with Improved Sensing Speed for Low-Voltage Differential SRAM

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 6
        November 2023
        404 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/3627977
        Issue’s Table of Contents

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 16 October 2023
        • Online AM: 2 August 2023
        • Accepted: 24 July 2023
        • Revised: 15 June 2023
        • Received: 20 January 2023
        Published in todaes Volume 28, Issue 6

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
      • Article Metrics

        • Downloads (Last 12 months)177
        • Downloads (Last 6 weeks)26

        Other Metrics

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Full Text

      View this article in Full Text.

      View Full Text