skip to main content
10.1145/3613424.3614252acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Swordfish: A Framework for Evaluating Deep Neural Network-based Basecalling using Computation-In-Memory with Non-Ideal Memristors

Published: 08 December 2023 Publication History

Abstract

Basecalling, an essential step in many genome analysis studies, relies on large Deep Neural Network s (DNN s) to achieve high accuracy. Unfortunately, these DNN s are computationally slow and inefficient, leading to considerable delays and resource constraints in the sequence analysis process. A Computation-In-Memory (CIM) architecture using memristors can significantly accelerate the performance of DNN s. However, inherent device non-idealities and architectural limitations of such designs can greatly degrade the basecalling accuracy, which is critical for accurate genome analysis. To facilitate the adoption of memristor-based CIM designs for basecalling, it is important to (1) conduct a comprehensive analysis of potential CIM architectures and (2) develop effective strategies for mitigating the possible adverse effects of inherent device non-idealities and architectural limitations. 
This paper proposes Swordfish, a novel hardware/software co-design framework that can effectively address the two aforementioned issues. Swordfish incorporates seven circuit and device restrictions or non-idealities from characterized real memristor-based chips. Swordfish leverages various hardware/software co-design solutions to mitigate the basecalling accuracy loss due to such non-idealities. To demonstrate the effectiveness of Swordfish, we take Bonito, the state-of-the-art (i.e., accurate and fast), open-source basecaller as a case study. Our experimental results using Swordfish show that a CIM architecture can realistically accelerate Bonito for a wide range of real datasets by an average of 25.7 ×, with an accuracy loss of 6.01%.

References

[1]
Shaizeen Aga, Supreet Jeloka, Arun Subramaniyan, Satish Narayanasamy, David Blaauw, and Reetuparna Das. Compute Caches. In HPCA. 2017.
[2]
Junwhan Ahn, Sungpack Hong, Sungjoo Yoo, Onur Mutlu, and Kiyoung Choi. A Scalable Processing-in-Memory Accelerator for Parallel Graph Processing. In ISCA. 2015.
[3]
Junwhan Ahn, Sungjoo Yoo, Onur Mutlu, and Kiyoung Choi. PIM-enabled Instructions: A Low-Overhead, Locality-Aware Processing-in-Memory Architecture. In ISCA. 2015.
[4]
Fabien Alibart, Ligang Gao, Brian D Hoskins, and Dmitri B Strukov. High Precision Tuning of State for Memristive Devices by Adaptable Variation-Tolerant Algorithm. Nanotechnology. 2012.
[5]
Can Alkan, Jeffrey M Kidd, Tomas Marques-Bonet, Gozde Aksay, Francesca Antonacci, Fereydoun Hormozdiari, Personalized Copy Number and Segmental Duplication Maps Using Next-Generation Sequencing. Nature Genetics. 2009.
[6]
Mohammed Alser, Joel Lindegger, Can Firtina, Nour Almadhoun, Haiyu Mao, Gagandeep Singh, From Molecules to Genomic Variations: Accelerating Genome Analysis via Intelligent Algorithms and Architectures. Computational and Structural Biotechnology Journal. 2022.
[7]
Maria Jesus Alvarez-Cubero, Maria Saiz, Belén Martínez-García, Sara M Sayalero, Carmen Entrala, Jose Antonio Lorente, Next Generation Sequencing: An Application in Forensic Sciences?Annals of Human Biology. 2017.
[8]
AMD. AMD® EPYC® 7742 CPU.https://www.amd.com/en/products/cpu/amd-epyc-7742.
[9]
Aayush Ankit, Izzat El Hajj, Sai Rahul Chalamalasetti, Geoffrey Ndu, Martin Foltin, R Stanley Williams, PUMA: A Programmable Ultra-Efficient Memristor-Based Accelerator for Machine Learning Inference. In ASPLOS. 2019.
[10]
Ankit, Aayush. PUMA Compiler.https://github.com/Aayush-Ankit/puma-compiler.
[11]
Ankit, Aayush and Kim, Dong-Eun and Chakraborty, Indranil and Ali, Mustafa and Negi, Shubham. PUMA Functional Simulator.https://github.com/Aayush-Ankit/puma-functional-model.
[12]
Simon Ardui, Adam Ameur, Joris R Vermeesch, and Matthew S Hestand. Single Molecule Real-Time (SMRT) Sequencing Comes of Age: Applications and Utilities for Medical Diagnostics. Nucleic Acids Research. 2018.
[13]
Zahra Aryan, Attila Szanto, Angeliki Pantazi, Tejaswini Reddi, Carolyn Rheinstein, Winslow Powers, Moving Genomics to Routine Care: An Initial Pilot in Acute Cardiovascular Disease. Circulation: Genomic and Precision Medicine. 2020.
[14]
Euan A Ashley. Towards Precision Medicine. Nature Reviews Genetics. 2016.
[15]
Joshua S Bloom, Laila Sathe, Chetan Munugala, Eric M Jones, Molly Gasperini, Nathan B Lubock, Massively Scaled-Up Testing for SARS-CoV-2 RNA via Next-Generation Sequencing of Pooled and Barcoded Nasal and Saliva Samples. Nature Biomedical Engineering. 2021.
[16]
Amirali Boroumand, Saugata Ghose, Berkin Akin, Ravi Narayanaswami, Geraldo F. Oliveira, Xiaoyu Ma, Google Neural Network Models for Edge Devices: Analyzing and Mitigating Machine Learning Inference Bottlenecks. In PACT. 2021.
[17]
Amirali Boroumand, Saugata Ghose, Youngsok Kim, Rachata Ausavarungnirun, Eric Shiu, Rahul Thakur, Google Workloads for Consumer Devices: Mitigating Data Movement Bottlenecks. In ASPLOS. 2018.
[18]
Daniel Branton, David W Deamer, Andre Marziali, Hagan Bayley, Steven A Benner, Thomas Butler, The Potential and Challenges of Nanopore Sequencing. Nature Biotechnology. 2008.
[19]
Geoffrey W Burr, Robert M Shelby, Abu Sebastian, Sangbum Kim, Seyoung Kim, Severin Sidler, Neuromorphic Computing Using Non-Volatile Memory. Advances in Physics: X. 2017.
[20]
Damla Senol Cali, Gurpreet S Kalsi, Zülal Bingöl, Can Firtina, Lavanya Subramanian, Jeremie S Kim, GenASM: A High-Performance, Low-Power Approximate String Matching Acceleration Framework for Genome Sequence Analysis. In MICRO. 2020.
[21]
Kevin K. Chang, Prashant J. Nair, Donghyuk Lee, Saugata Ghose, Moinuddin K. Qureshi, and Onur Mutlu. Low-Cost Inter-Linked Subarrays (LISA): Enabling Fast Inter-Subarray Data Movement in DRAM. In HPCA. 2016.
[22]
Gouranga Charan, Abinash Mohanty, Xiaocong Du, Gokul Krishnan, Rajiv V Joshi, and Yu Cao. Accurate Inference With Inaccurate RRAM Devices: A Joint Algorithm-Design Solution. JXCDC. 2020.
[23]
Ching-Yi Chen, Hsiu-Chuan Shih, Cheng-Wen Wu, Chih-He Lin, Pi-Feng Chiu, Shyh-Shyuan Sheu, RRAM Defect Modeling and Failure Analysis Based on March Test and a Novel Squeeze-Search Scheme. IEEE Transactions on Computers. 2014.
[24]
Lerong Chen, Jiawen Li, Yiran Chen, Qiuping Deng, Jiyuan Shen, Xiaoyao Liang, Accelerator-Friendly Neural-Network Training: Learning Variations and Defects in RRAM Crossbar. In DATE. 2017.
[25]
Lerong Chen, Jiawen Li, Yiran Chen, Qiuping Deng, Jiyuan Shen, Xiaoyao Liang, Accelerator-Friendly Neural-Network Training: Learning Variations and Defects in RRAM Crossbar. In DATE. 2017.
[26]
Long Cheng, Yi Li, Kang-Sheng Yin, Si-Yu Hu, Yu-Ting Su, Miao-Miao Jin, Functional Demonstration of a Memristive Arithmetic Logic Unit (MemALU) for In-Memory Computing. Advanced Functional Materials. 2019.
[27]
Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang, Jishen Zhao, Yongpan Liu, PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory. ISCA. 2016.
[28]
Lynda Chin, Jannik N Andersen, and P Andrew Futreal. Cancer Genomics: From Discovery Science to Personalized Medicine. Nature Medicine. 2011.
[29]
Michelle M Clark, Amber Hildreth, Sergey Batalov, Yan Ding, Shimul Chowdhury, Kelly Watkins, Diagnosis of Genetic Diseases in Seriously Ill Children by Rapid Whole-Genome Sequencing and Automated Phenotyping and Interpretation. Science Translational Medicine. 2019.
[30]
Matthieu Courbariaux, Yoshua Bengio, and Jean-Pierre David. BinaryConnect: Training Deep Neural Networks With Binary Weights During Propagations. NeurIPS. 2015.
[31]
Raquel Dias and Ali Torkamani. Artificial Intelligence in Clinical and Genomic Diagnostics. Genome Medicine. 2019.
[32]
Ruizhou Ding, Zeye Liu, Rongye Shi, Diana Marculescu, and RD Blanton. LightNN: Filling the Gap Between Conventional Deep Neural Networks and Binarized Networks. In GLSVLSI. 2017.
[33]
Tim Dunn, Harisankar Sadasivan, Jack Wadden, Kush Goliya, Kuan-Yu Chen, David Blaauw, SquiggleFilter: An Accelerator for Portable Virus Detection. In MICRO. 2021.
[34]
Hans Ellegren. Genome Sequencing and Population Genomics in Non-Model Organisms. Trends in Ecology & Evolution. 2014.
[35]
Steven K Esser, Jeffrey L McKinstry, Deepika Bablani, Rathinakumar Appuswamy, and Dharmendra S Modha. Learned Step Size Quantization. arXiv. 2019.
[36]
João Dinis Ferreira, Gabriel Falcao, Juan Gómez-Luna, Mohammed Alser, Lois Orosa, Mohammad Sadrosadati, pLUTo: In-DRAM Lookup Tables to Enable Massively Parallel General-Purpose Computation. MICRO. 2022.
[37]
Markus Fritscher, Johannes Knödtel, Maen Mallah, Stefan Pechmann, Emilio Perez-Bosch Quesada, Tommaso Rizzi, Mitigating the Effects of RRAM Process Variation on the Accuracy of Artificial Neural Networks. In International Conference on Embedded Computer Systems. 2022.
[38]
Markus Fritscher, Johannes Knödtel, Daniel Reiser, Maen Mallah, Stefan Pechmann, Dietmar Fey, Simulating Large Neural Networks Embedding MLC RRAM as Weight Storage Considering Device Variations. In LASCAS. 2021.
[39]
Daichi Fujiki, Arun Subramaniyan, Tianjun Zhang, Yu Zeng, Reetuparna Das, David Blaauw, GenAx: A Genome Sequencing Accelerator. In ISCA. 2018.
[40]
Geoffrey S Ginsburg and Kathryn A Phillips. Precision Medicine: From Science to Value. Health Affairs. 2018.
[41]
Geoffrey S Ginsburg and Huntington F Willard. Genomic and Personalized Medicine: Foundations and Applications. Translational Research. 2009.
[42]
Suyog Gupta, Ankur Agrawal, Kailash Gopalakrishnan, and Pritish Narayanan. Deep Learning With Limited Numerical Precision. In ICML. 2015.
[43]
Said Hamdioui, Lei Xie, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Koen Bertels, Henk Corporaal, Memristor Based Computation-In-Memory Architecture for Data-Intensive Applications. In DATE. 2015.
[44]
Song Han, Huizi Mao, and William J Dally. Deep Compression: Compressing Deep Neural Networks With Pruning, Trained Quantization and Huffman Coding. ICLR. 2015.
[45]
Geoffrey Hinton, Oriol Vinyals, and Jeff Dean. Distilling the Knowledge in a Neural Network. arXiv. 2015.
[46]
Miao Hu, Catherine E Graves, Can Li, Yunning Li, Ning Ge, Eric Montgomery, Memristor-Based Analog Computation and Neural Network Classification With a Dot Product Engine. Advanced Materials. 2018.
[47]
Miao Hu, Hai Li, Yiran Chen, Qing Wu, and Garrett S Rose. BSB Training Scheme Implementation on Memristor-Based Circuit. In CISDA. 2013.
[48]
Neng Huang, Fan Nie, Peng Ni, Feng Luo, and Jianxin Wang. SACall: A Neural Network Basecaller for Oxford Nanopore Sequencing Data Based on Self-Attention Mechanism. TCBB. 2020.
[49]
Ahmet Inci, Siri Garudanagiri Virupaksha, Aman Jain, Ting-Wu Chin, Venkata Vivek Thallam, Ruizhou Ding, QUIDAM: A Framework for Quantization DNN Accelerator and Model Co-Exploration. TECS. 2022.
[50]
Benoit Jacob, Skirmantas Kligys, Bo Chen, Menglong Zhu, Matthew Tang, Andrew Howard, Quantization and Training of Neural Networks for Efficient Integer-Arithmetic-Only Inference. In CVPR. 2018.
[51]
Miten Jain, Sergey Koren, Karen H Miga, Josh Quick, Arthur C Rand, Thomas A Sasani, Nanopore Sequencing and Assembly of a Human Genome With Ultra-Long Reads. Nature Biotechnology. 2018.
[52]
Shubham Jain and Anand Raghunathan. CxDNN: Hardware-Software Compensation Methods for Deep Neural Networks on Resistive Crossbar Systems. TECS. 2019.
[53]
Shubham Jain, Abhronil Sengupta, Kaushik Roy, and Anand Raghunathan. RxNN: A Framework for Evaluating Deep Neural Networks on Resistive Crossbars. TCAD. 2020.
[54]
YeonJoo Jeong, Mohammed A Zidan, and Wei D Lu. Parasitic Effect Analysis in Memristor-Array-Based Neuromorphic Systems. IEEE Transactions on Nanotechnology. 2017.
[55]
Weiwen Jiang, Qiuwen Lou, Zheyu Yan, Lei Yang, Jingtong Hu, Xiaobo Sharon Hu, Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators. TC. 2020.
[56]
VG Karpov, YA Kryukov, SD Savransky, and IV Karpov. Nucleation Switching in Phase Change Memory. Applied Physics Letters. 2007.
[57]
Geethan Karunaratne, Manuel Le Gallo, Giovanni Cherubini, Luca Benini, Abbas Rahimi, and Abu Sebastian. In-Memory Hyperdimensional Computing. Nature Electronics. 2020.
[58]
Mehdi Kchouk, Jean-Francois Gibrat, and Mourad Elloumi. Generations of Sequencing Technologies: From First to Next Generation. Biology and Medicine. 2017.
[59]
Wonjoo Kim, Anupam Chattopadhyay, Anne Siemon, Eike Linn, Rainer Waser, and Vikas Rana. Multistate Memristive Tantalum Oxide Devices for Ternary Arithmetic. Scientific Reports. 2016.
[60]
Stephen F Kingsmore, Laurie D Smith, Chris M Kunard, Matthew Bainbridge, Sergey Batalov, Wendy Benson, A Genome Sequencing System for Universal Newborn Screening, Diagnosis, and Precision Medicine for Severe Genetic Diseases. The American Journal of Human Genetics. 2022.
[61]
Michael Klachko, Mohammad Reza Mahmoodi, and Dmitri Strukov. Improving Noise Tolerance of Mixed-Signal Neural Networks. In IJCNN. 2019.
[62]
Jelena Kocić, Nenad Jovičić, and Vujo Drndarević. An End-to-End Deep Neural Network for Autonomous Driving Designed for Embedded Automotive Platforms. Sensors. 2019.
[63]
Hiroki Konishi, Rui Yamaguchi, Kiyoshi Yamaguchi, Yoichi Furukawa, and Seiya Imoto. Halcyon: An Accurate Basecaller Exploiting an Encoder–Decoder Model With Monotonic Attention. Bioinformatics. 2021.
[64]
Skanda Koppula, Lois Orosa, A Giray Yağlıkçı, Roknoddin Azizi, Taha Shahroodi, Konstantinos Kanellopoulos, EDEN: Enabling Energy-Efficient, High-Performance Deep Neural Network Inference Using Approximate DRAM. In MICRO. 2019.
[65]
Vien Thi Minh Le and Binh An Diep. Selected Insights From Application of Whole Genome Sequencing for Outbreak Investigations. Current Opinion in Critical Care. 2013.
[66]
Benjamin C Lee, Engin Ipek, Onur Mutlu, and Doug Burger. Architecting Phase Change Memory as a Scalable DRAM Alternative. In ISCA. 2009.
[67]
Benjamin C Lee, Engin Ipek, Onur Mutlu, and Doug Burger. Phase Change Memory Architecture and the Quest for Scalability. CACM. 2010.
[68]
Jung-Hoon Lee, Dong-Hyeok Lim, Hongsik Jeong, Huimin Ma, and Luping Shi. Exploring Cycle-to-Cycle and Device-to-Device Variation Tolerance in MLC Storage-Based Neural Network Training. IEEE Transactions on Electron Devices. 2019.
[69]
Heng Li. Minimap and Miniasm: Fast Mapping and De Novo Assembly for Noisy Long Sequences. Bioinformatics. 2016.
[70]
Shuangchen Li, Dimin Niu, Krishna T Malladi, Hongzhong Zheng, Bob Brennan, and Yuan Xie. DRISA: A DRAM-Based Reconfigurable In-Situ Accelerator. In MICRO. 2017.
[71]
Shuangchen Li, Cong Xu, Qiaosha Zou, Jishen Zhao, Yu Lu, and Yuan Xie. Pinatubo: A Processing-in-Memory Architecture for Bulk Bitwise Operations in Emerging Non-Volatile Memories. In DAC. 2016.
[72]
Yuhang Li, Xin Dong, and Wei Wang. Additive Powers-of-Two Quantization: An Efficient Non-Uniform Discretization for Neural Networks. arXiv. 2019.
[73]
Zhong Li, Minxue Pan, Tian Zhang, and Xuandong Li. Testing DNN-based Autonomous Driving Systems under Critical Environmental Conditions. In ICML. 2021.
[74]
Meng-Yao Lin, Hsiang-Yun Cheng, Wei-Ting Lin, Tzu-Hsien Yang, I-Ching Tseng, Chia-Lin Yang, DL-RSIM: A Simulation Framework to Enable Reliable ReRAM-Based Accelerators for Deep Learning. In ICCAD. 2018.
[75]
Beiye Liu, Hai Li, Yiran Chen, Xin Li, Tingwen Huang, Qing Wu, Reduction and IR-drop Compensations Techniques for Reliable Neuromorphic Computing Systems. In ICCAD. 2014.
[76]
Beiye Liu, Hai Li, Yiran Chen, Xin Li, Qing Wu, and Tingwen Huang. Vortex: Variation-Aware Training for Memristor X-Bar. In DAC. 2015.
[77]
Jiawen Liu, Hengyu Zhao, Matheus A Ogleari, Dong Li, and Jishen Zhao. Processing-in-Memory for Energy-Efficient Neural Network Training: A Heterogeneous Approach. In MICRO. 2018.
[78]
Yun Long, Xueyuan She, and Saibal Mukhopadhyay. Design of Reliable DNN Accelerator With Un-Reliable ReRAM. In DATE. 2019.
[79]
Qian Lou, Sarath Chandra Janga, and Lei Jiang. Helix: Algorithm/Architecture Co-Design for Accelerating Nanopore Genome Base-Calling. In PACT. 2020.
[80]
MNEMOSENE partners. The MNEMOSENE Project.http://www.mnemosene.eu/.
[81]
Mohamad G. Moinuddin, Aijaz H. Lone, Shivangi Shringi, Srikant Srinivasan, and Satinder K. Sharma. Low-Current-Density Magnetic Tunnel Junctions for STT-RAM Application Using MgO x N 1 − x  (x = 0.57) Tunnel Barrier. IEEE Transactions on Electron Devices. 2020.
[82]
Onur Mutlu and Can Firtina. Accelerating Genome Analysis via Algorithm-Architecture Co-Design. In DAC. 2023.
[83]
Onur Mutlu, Saugata Ghose, Juan Gómez-Luna, and Rachata Ausavarungnirun. A Modern Primer on Processing in Memory. In Emerging Computing: From Devices to Systems. 2023.
[84]
Leibin Ni, Zichuan Liu, Hao Yu, and Rajiv V Joshi. An Energy-Efficient Digital ReRAM-Crossbar-Based CNN With Bitwise Parallelism. JXCDC. 2017.
[85]
Vlad Nikolayevskyy, Katharina Kranzer, Stefan Niemann, and Francis Drobniewski. Whole Genome Sequencing of Mycobacterium Tuberculosis for Detection of Recent Transmission and Tracing Outbreaks: A Systematic Review. Tuberculosis. 2016.
[86]
NVIDIA. NVIDIA V100.https://www.nvidia.com/en-us/data-center/v100/.
[87]
Oxford Nanopore Technologies Ltd. Developers. GridION. https://nanoporetech.com/products/gridion. 2017.
[88]
Oxford Nanopore Technologies Ltd. Developers. Metrichor. https://metrichor.com. 2017.
[89]
Oxford Nanopore Technologies Ltd. Developers. Flappie. https://github.com/nanoporetech/flappie. 2018.
[90]
Oxford Nanopore Technologies Ltd. Developers. PromethION. https://nanoporetech.com/products/promethion-2. 2018.
[91]
Oxford Nanopore Technologies Ltd. Developers. MinION. https://nanoporetech.com/products/minion. 2019.
[92]
Oxford Nanopore Technologies Ltd. Developers. Scrappie. https://github.com/nanoporetech/scrappie. 2019.
[93]
Oxford Nanopore Technologies Ltd. Developers. Bonito. https://github.com/nanoporetech/bonito. 2020.
[94]
Oxford Nanopore Technologies Ltd. Developers. Dorado. https://github.com/nanoporetech/dorado. 2022.
[95]
Marc Pages-Gallego and Jeroen de Ridder. Comprehensive and Standardized Benchmarking of Deep Learning Architectures for Basecalling Nanopore Sequencing Data. bioRxiv. 2022.
[96]
Giacomo Pedretti, Elia Ambrosi, and Daniele Ielmini. Conductance Variations and Their Impact on the Precision of In-Memory Computing With Resistive Switching Memory (RRAM). In IRPS. 2021.
[97]
Mirko Prezioso, Farnood Merrikh-Bayat, Brian D Hoskins, Gina C Adam, Konstantin K Likharev, and Dmitri B Strukov. Training and Operation of an Integrated Neuromorphic Network Based on Metal-Oxide Memristors. Nature. 2015.
[98]
Haotong Qin, Ruihao Gong, Xianglong Liu, Xiao Bai, Jingkuan Song, and Nicu Sebe. Binary Neural Networks: A Survey. Pattern Recognition. 2020.
[99]
Joshua Quick, Nicholas J Loman, Sophie Duraffour, Jared T Simpson, Ettore Severi, Lauren Cowley, Real-Time, Portable Genome Sequencing for Ebola Surveillance. Nature. 2016.
[100]
S. Ramachandran, J.W. Nicholson, S. Ghalmi, and M.F. Yan. Measurement of Multipath Interference in the Coherent Crosstalk Regime. IEEE Photonics Technology Letters. 2003.
[101]
Franka J Rang, Wigard P Kloosterman, and Jeroen de Ridder. From Squiggle to Basepair: Computational Approaches for Improving Nanopore Sequencing Read Accuracy. Genome Biology. 2018.
[102]
Satyabrata Sarangi and Bevan Baas. DeepScaleTool: A Tool for the Accurate Estimation of Technology Scaling in the Deep-Submicron Era. In ISCAS. 2021.
[103]
Damla Senol Cali, Jeremie S Kim, Saugata Ghose, Can Alkan, and Onur Mutlu. Nanopore Sequencing Technology and Tools for Genome Assembly: Computational Analysis of the Current State, Bottlenecks and Future Directions. Briefings in Bioinformatics. 2019.
[104]
Vivek Seshadri, Kevin Hsieh, Amirali Boroum, Donghyuk Lee, Michael A Kozuch, Onur Mutlu, Fast Bulk Bitwise AND and OR in DRAM. CAL. 2015.
[105]
Vivek Seshadri, Yoongu Kim, Chris Fallin, Donghyuk Lee, Rachata Ausavarungnirun, Gennady Pekhimenko, RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization. In MICRO. 2013.
[106]
Vivek Seshadri, Donghyuk Lee, Thomas Mullins, Hasan Hassan, Amirali Boroumand, Jeremie Kim, Ambit: In-Memory Accelerator for Bulk Bitwise Operations Using Commodity DRAM Technology. In MICRO. 2017.
[107]
Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, ISAAC: A Convolutional Neural Network Accelerator With In-Situ Analog Arithmetic in Crossbars. ISCA. 2016.
[108]
Taha Shahroodi, Raphael Cardoso, Mahdi Zahedi, Stephan Wong, Alberto Bosio, Ian O’Connor, Lightspeed Binary Neural Networks Using Optical Phase-Change Materials. In DATE. 2023.
[109]
Taha Shahroodi, Michael Miao, Mahdi Zahedi, Stephan Wong, and Said Hamdioui. RattlesnakeJake: A Fast and Accurate Pre-Alignment Filter Suitable for Computation-In-Memory. In SAMOS. 2023.
[110]
Taha Shahroodi, Michael Miao, Mahdi Zahedi, Stephan Wong, and Said Hamdioui. SieveMem: A Computation-In-Memory Architecture for Fast and Accurate Pre-Alignment. In ASAP. 2023.
[111]
Taha Shahroodi, Stephan Wong, and Said Hamdioui. A Case for Genome Analysis Where Genomes Reside. In SAMOS. 2023.
[112]
Taha Shahroodi, Mahdi Zahedi, Can Firtina, Mohammed Alser, Stephan Wong, Onur Mutlu, Demeter: A Fast and Energy-Efficient Food Profiler Using Hyperdimensional Computing in Memory. IEEE Access. 2022.
[113]
Taha Shahroodi, Mahdi Zahedi, Abhairaj Singh, Stephan Wong, and Said Hamdioui. KrakenOnMem: A Memristor-Augmented HW/SW Framework for Taxonomic Profiling. In ICS. 2022.
[114]
Lingyun Shi, Guohao Zheng, Bobo Tian, Brahim Dkhil, and Chungang Duan. Research Progress on Solutions to the Sneak Path Issue in Memristor Crossbar Arrays. Nanoscale Advances. 2020.
[115]
Abhairaj Singh, Mahdi Zahedi, Taha Shahroodi, Mohit Gupta, Anteneh Gebregiorgis, Manu Komalan, CIM-Based Robust Logic Accelerator Using 28 nm STT-MRAM Characterization Chip Tape-Out. In AICAS. 2022.
[116]
Gagandeep Singh, Mohammed Alser, Alireza Khodamoradi, Kristof Denolf, Can Firtina, Meryem Banu Cavlak, A Framework for Designing Efficient Deep Learning-Based Genomic Basecallers. arXiv. 2022.
[117]
Dmitri B Strukov, Gregory S Snider, Duncan R Stewart, and R Stanley Williams. The Missing Memristor Found. Nature. 2008.
[118]
Synopsys, Inc.Synopsys Design Compiler. https://www.synopsys.com/support/training/rtl-synthesis/design-compiler-rtl-synthesis.html.
[119]
Christian Szegedy, Sergey Ioffe, Vincent Vanhoucke, and Alexander A. Alemi. Inception-v4, Inception-ResNet and the Impact of Residual Connections on Learning. In AAAI. 2017.
[120]
Thierry Tambe, En-Yu Yang, Zishen Wan, Yuntian Deng, Vijay Janapa Reddi, Alexander Rush, Algorithm-Hardware Co-Design of Adaptive Floating-Point Encodings for Resilient Deep Learning Inference. In DAC. 2020.
[121]
Hokchhay Tann, Soheil Hashemi, R Iris Bahar, and Sherief Reda. Hardware-Software Codesign of Accurate, Multiplier-Free Deep Neural Networks. In DAC. 2017.
[122]
Kodai Ueyoshi, Kota Ando, Kazutoshi Hirose, Shinya Takamaeda-Yamazaki, Junichiro Kadomoto, Tomoki Miyata, QUEST: A 7.49 TOPS Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96MB 3D SRAM Using Inductive-Coupling Technology in 40nm CMOS. In ISSCC. 2018.
[123]
Erwin L Van Dijk, Yan Jaszczyszyn, Delphine Naquin, and Claude Thermes. The Third Revolution in Sequencing Technology. Trends in Genetics. 2018.
[124]
Swagath Venkataramani, Ashish Ranjan, Kaushik Roy, and Anand Raghunathan. AxNN: Energy-Efficient Neuromorphic Systems Using Approximate Computing. In ISLPED. 2014.
[125]
A. Vittal, L.H. Chen, M. Marek-Sadowska, Kai-Ping Wang, and S. Yang. Crosstalk in VLSI Interconnections. TCAD. 1999.
[126]
A. Vittal and M. Marek-Sadowska. Crosstalk Reduction for VLSI. TCAD. 1997.
[127]
Yunhao Wang, Yue Zhao, Audrey Bollas, Yuru Wang, and Kin Fai Au. Nanopore Sequencing Technology, Bioinformatics and Applications. Nature Biotechnology. 2021.
[128]
Rainer Waser, Regina Dittmann, Georgi Staikov, and Kristof Szot. Redox-Based Resistive Switching Memories–Nanoionic Mechanisms, Prospects, and Challenges. Advanced Materials. 2009.
[129]
Jason L Weirather, Mariateresa de Cesare, Yunhao Wang, Paolo Piazza, Vittorio Sebastiano, Xiu-Jie Wang, Comprehensive Comparison of Pacific Biosciences and Oxford Nanopore Technologies and Their Applications to Transcriptome Analysis. F1000Research. 2017.
[130]
Ryan R Wick, Louise M Judd, and Kathryn E Holt. Performance of Neural Network Basecalling Tools for Oxford Nanopore Sequencing. Genome Biology. 2019.
[131]
Wick, Ryan. Raw FAST5s.https://bridges.monash.edu/articles/dataset/Raw_fast5s/7676174.
[132]
Wick, Ryan. Reference Genomes.https://bridges.monash.edu/articles/dataset/Reference_genomes/7676135.
[133]
John C Wooley, Adam Godzik, and Iddo Friedberg. A Primer on Metagenomics. PLoS Computational Biology. 2010.
[134]
Qiangfei Xia and J Joshua Yang. Memristive Crossbar Arrays for Brain-Inspired Computing. Nature Materials. 2019.
[135]
Lei Xie, Hoang Anh Du Nguyen, Jintao Yu, Ali Kaichouhi, Mottaqiallah Taouil, Mohammad AlFailakawi, Scouting Logic: A Novel Memristor-Based Logic Design for Resistive Computing. In ISVLSI. 2017.
[136]
Zhimeng Xu, Yuting Mai, Denghui Liu, Wenjun He, Xinyuan Lin, Chi Xu, Fast-Bonito: A Faster Deep Learning Based Basecaller for Nanopore Sequencing. Artificial Intelligence in the Life Sciences. 2021.
[137]
Charlene Yang. Hierarchical Roofline Analysis: How to Collect Data Using Performance Tools on Intel CPUs and NVIDIA GPUs. arXiv. 2020.
[138]
Ramesh Yelagandula, Aleksandr Bykov, Alexander Vogt, Robert Heinen, Ezgi Özkan, Marcus Martin Strobl, Multiplexed Detection of SARS-CoV-2 and Other Respiratory Infections in High Throughput by SARSeq. Nature Communications. 2021.
[139]
Mahdi Zahedi, Geert Custers, Taha Shahroodi, Georgi Gaydadjiev, Stephan Wong, and Said Hamdioui. SparseMEM: Energy-Efficient Design for In-Memory Sparse-Based Graph Processing. In DATE. 2023.
[140]
Mahdi Zahedi, Taha Shahroodi, Geert Custers, Abhairaj Singh, Stephan Wong, and Said Hamdioui. System Design for Computation-In-Memory: From Primitive to Complex Functions. In VLSI-SoC. 2022.
[141]
Mahdi Zahedi, Taha Shahroodi, Stephan Wong, and Said Hamdioui. Efficient Signed Arithmetic Multiplication on Memristor-Based Crossbar. IEEE Access. 2023.
[142]
Mengshi Zhang, Yuqun Zhang, Lingming Zhang, Cong Liu, and Sarfraz Khurshid. DeepRoad: GAN-based Metamorphic Testing and Input Validation Framework for Autonomous Driving Systems. In Proceedings of the 33rd ACM/IEEE International Conference on Automated Software Engineering. 2018.
[143]
Wenqiang Zhang, Xiaochen Peng, Huaqiang Wu, Bin Gao, Hu He, Youhui Zhang, Design Guidelines of RRAM Based Neural-Processing-Unit: A Joint Device-Circuit-Algorithm Analysis. In DAC. 2019.
[144]
Yaojun Zhang, Xiaobin Wang, Hai Li, and Yiran Chen. STT-RAM Cell Optimization Considering MTJ and CMOS Variations. IEEE Transactions on Magnetics. 2011.
[145]
Yao-zhong Zhang, Arda Akdemir, Georg Tremmel, Seiya Imoto, Satoru Miyano, Tetsuo Shibuya, Nanopore Basecalling From a Perspective of Instance Segmentation. BMC Bioinformatics. 2020.

Cited By

View all
  • (2024)TargetCall: eliminating the wasted computation in basecalling via pre-basecalling filteringFrontiers in Genetics10.3389/fgene.2024.142930615Online publication date: 28-Oct-2024
  • (2024)MegIS: High-Performance, Energy-Efficient, and Low-Cost Metagenomic Analysis with In-Storage Processing2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00054(660-677)Online publication date: 29-Jun-2024
  • (2024)MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00024(186-203)Online publication date: 2-Mar-2024

Index Terms

  1. Swordfish: A Framework for Evaluating Deep Neural Network-based Basecalling using Computation-In-Memory with Non-Ideal Memristors

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        MICRO '23: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture
        October 2023
        1528 pages
        ISBN:9798400703294
        DOI:10.1145/3613424
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 08 December 2023

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. basecalling
        2. computation in memory (CIM)
        3. deep neural networks (DNN s)
        4. genome analysis
        5. memory systems
        6. memristors
        7. non-ideality
        8. processing in memory (PIM)

        Qualifiers

        • Research-article
        • Research
        • Refereed limited

        Conference

        MICRO '23
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 484 of 2,242 submissions, 22%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)248
        • Downloads (Last 6 weeks)22
        Reflects downloads up to 05 Mar 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)TargetCall: eliminating the wasted computation in basecalling via pre-basecalling filteringFrontiers in Genetics10.3389/fgene.2024.142930615Online publication date: 28-Oct-2024
        • (2024)MegIS: High-Performance, Energy-Efficient, and Low-Cost Metagenomic Analysis with In-Storage Processing2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA59077.2024.00054(660-677)Online publication date: 29-Jun-2024
        • (2024)MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00024(186-203)Online publication date: 2-Mar-2024

        View Options

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        HTML Format

        View this article in HTML Format.

        HTML Format

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media