skip to main content
10.1145/3620665.3640415acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
research-article

FOCAL: A First-Order Carbon Model to Assess Processor Sustainability

Published: 27 April 2024 Publication History

Abstract

Sustainability in general and global warming in particular are grand societal challenges. Computer systems demand substantial materials and energy resources throughout their entire lifetime. A key question is how computer engineers and scientists can reduce the environmental impact of computing. To overcome the inherent data uncertainty, this paper proposes FOCAL, a parameterized first-order carbon model to assess processor sustainability using first principles. FOCAL's normalized carbon footprint (NCF) metric guides computer architects to holistically optimize chip area, energy and power consumption to reduce a processor's environmental footprint. We use FOCAL to analyze and categorize a broad set of archetypal processor mechanisms into strongly, weakly or less sustainable design choices, providing insight and intuition into how to reduce a processor's environmental footprint with implications to both hardware and software. A case study illustrates a pathway for designing strongly sustainable multicore processors delivering high performance while at the same time reducing their environmental footprint.

References

[1]
B. Acun, B. Lee, F. Kazhamiaka, K. Maeng, U. Gupta, M. Chakkaravarthy, D. Brooks, and C.-J. Wu. Carbon explorer: A holistic framework for designing carbon aware datacenters. In Proceedings of the ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), volume 2, pages 118--132, 2023.
[2]
B. Alcott. Jevons' paradox. Ecological Economics, 54(1), 2005.
[3]
Apple. iPhone 12 product environmental report, 2020.
[4]
S. Arora, D. Bouvier, and C. Weaver. AMD next generation 7nm Ryzen 4000 APU 'Renoir'. In HotChips, Aug. 2020.
[5]
L. A. Barroso and U. Hölzle. The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines. Synthesis Lectures on Computer Architecture. Morgan and Claypool Publishers, 2009.
[6]
G. Blake, R. G. Dreslinski, T. N. Mudge, and K. Flautner. Evolution of thread-level parallelism in desktop applications. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 302--313, June 2010.
[7]
S. Borkar. Thousand core chips --- a technology perspective. In Proceedings of the Design Automation Conference (DAC), pages 746--749, June 2007.
[8]
E. Brunvand, D. Kline, and A. K. Jones. Dark silicon considered harmful: A case for truly green computing. In Proceedings of the International Green and Sustainable Computing Conference (IGSC), pages 1--8, June 2019.
[9]
J. Chang, J. Meza, P. Ranganathan, A. Shah, R. Shih, and C. E. Bash. Totally green: Evaluating and designing servers for lifecycle environmental impact. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 25--35, Mar. 2012.
[10]
D. K. de Vries. Investigation of gross die per wafer formulas. IEEE Transactions on Semiconductor Manufacturing, 18(1):136--139, 2005.
[11]
L. Eeckhout. A first-order model to assess computer architecture sustainability. IEEE Computer Architecture Letters (CAL), 21(2):137--140, July--Dec 2022.
[12]
L. Eeckhout. Kaya for computer architects: Toward sustainable computer systems. IEEE Micro, 43:9--18, Jan/Feb 2023.
[13]
H. Esmaeilzadeh, E. M. Blem, R. S. Amant, K. Sankaralingam, and D. Burger. Dark silicon and the end of multicore scaling. In Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA), pages 365--376, June 2011.
[14]
C. Freitag, M. Berbers-Lee, K. Widdicks, B. Knowles, G. S. Blair, and A. Friday. The real climate and transformative impact of ICT: A critique of estimates, trends, and regulations. Patterns, 2(9), 2021.
[15]
C. Gao, A. Gutierrez, R. G. Dreslinski, T. N. Mudge, K. Flautner, and G. Blake. A study of thread level parallelism on mobile devices. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 126--127, Mar. 2014.
[16]
M. Garcia Bardon, P. Wuytens, L.-A. Ragnarsson, G. Mirabelli, D. Jang, G. Willems, A. Mallik, A. Spessot, J. Ryckaert, and B. Parvais. DTCO including sustainability: Power-performance-area-cost-environmental score (PPACE) analysis for logic technologies. In IEEE International Electron Devices Meeting (IEDM), 2020.
[17]
B. Grayson, J. Rupley, G. D. Zuraski, E. Quinnell, D. A. Jiménez, T. Nakra, P. Kitchin, R. Hensley, E. Brekelbaum, V. Sinha, and A. Ghiya. Evolution of the Samsung Exynos CPU microarchitecture. In Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA), pages 40--51, June 2020.
[18]
P. Greenhalgh. Big.LITTLE processing with ARM Cortex-A15 & Cortex-A7: Improving energy efficiency in high-performance mobile platforms. http://www.arm.com/files/downloads/big_LITTLE_Final_Final.pdf, Sept. 2011.
[19]
U. Gupta, M. Elgamal, G.-Y. W. G. Hills, H.-H. S. Lee, D. Brooks, and C.-J. Wu. ACT: Designing sustainable computer systems with an architectural carbon modeling tool. In Proceedings of the ACM/IEEE Inernational Symposium on Computer Architecture (ISCA), pages 784--799, 2022.
[20]
U. Gupta, Y. G. Kim, S. Lee, J. Tse, H.-H. S. Lee, G.-Y. Wei, D. Brooks, and C.-J. Wu. Chasing carbon: The elusive environmental footprint of computing. In IEEE International Symposium on High-Performance Computer Architecture (HPCA), pages 854--867, 2021.
[21]
R. Hameed, W. Qadeer, M. Wachs, O. Azizi, A. Solomatnikov, B. C. Lee, S. Richardson, C. Kozyrakis, and M. Horowitz. Understanding sources of inefficiency in general-purpose chips. In Proceedings of the IEEE/ACM Symposium on Computer Architecture (ISCA), pages 37--47, 2010.
[22]
A. Hartstein, V. Srinivasan, T. R. Puzak, and P. G. Emma. On the nature of cache miss behavior: Is it √2? Journal of Instruction-Level Parallelism (JILP), 10, Jan/Feb 2008.
[23]
M. D. Hill and M. R. Marty. Amdahl's law in the multicore era. IEEE Computer, 41(7):33--38, July 2008.
[24]
M. D. Hill and V. J. Reddi. Accelerator-level parallelism. Communications of the ACM, 64(12), 2021.
[25]
S. Kaxiras and M. Martonosi. Computer Architecture Techniques for Power-Efficiency. Morgan & Claypool Publishers, 2008.
[26]
W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), pages 123--134, Feb. 2008.
[27]
D. Kline, N. Parshook, X. Ge, E. Brunvand, R. G. Melhem, P. K. Chrysanthis, and A. K. Jones. GreenChip: A tool for evaluating holistic sustainability of modern computing systems. Sustainable Computing: Informatics and Systems, 22:322--332, June 2019.
[28]
R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In Proceedings of the ACM/IEEE Annual International Symposium on Microarchitecture (MICRO), pages 81--92, Dec. 2003.
[29]
K. Lakshminarasimhan, A. Naithani, J. Feliu, and L. Eeckhout. The forward slice core microarchitecture. In Proceedings of the IEEE International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 361--372, 2020.
[30]
R. C. Leachman. Yield modeling and analysis, 2014.
[31]
C. Lefurgy, K. Rajamani, F. L. R. III, W. M. Felter, M. Kistler, and T. W. Keller. Energy management for commercial servers. IEEE Computer, 36(12):39--48, Dec. 2003.
[32]
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 469--480, Dec. 2009.
[33]
S. Manne, A. Klauser, and D. Grunwald. Pipeline gating: Speculation control for energy reduction. In Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA), pages 132--141, June 1998.
[34]
D. Meisner, B. T. Gold, and T. Wenisch. PowerNap: Eliminating server idle power. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 205--216, Mar. 2009.
[35]
N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi. CACTI 6.0: A tool to model large caches. Technical report, Hewlett-Packard Laboratories, Apr. 2009.
[36]
O. Mutlu, J. Stark, C. Wilkerson, and Y. N. Patt. Runahead execution: An alternative to very large instruction windows for out-of-order processors. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), pages 129--140, 2003.
[37]
A. Naithani, J. Feliu, A. Adileh, and L. Eeckhout. Precise runahead execution. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), pages 397--410, 2020.
[38]
S. Ollivier, S. Li, Y. Tang, S. Cahoon, R. Caginalp, C. Chaudhuri, P. Zhou, X. Tang, J. Hu, and A. K. Jones. Sustainable AI processing at the edge. IEEE Micro, 43:19--28, Jan/Feb 2023.
[39]
D. Parikh, K. Skadron, Y. Zhang, M. Barcella, and M. R. Stan. Power issues related to branch prediction. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), pages 233--244, Feb. 2002.
[40]
S. Pruett and Y. Patt. Branch runahead: An alternative to branch prediction for impossible to predict branches. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 804--815, Oct. 2021.
[41]
J. Ranganathan and et al. The greenhouse gas protocol: A corporate accounting and reporting standard - revised edition, 2004.
[42]
E. Rotem, A. Naveh, D. Rajwan, A. Ananthakrishnan, and E. Weissmann. Power-management architecture of the intel microarchitecture code-named sandy bridge. IEEE Micro, 32:20--27, March/April 2012.
[43]
E. Rotem, A. Yoaz, L. Rappoport, S. J. Robinson, J. Y. Mandelblat, A. Gihon, E. Weissmann, R. Chabukswar, V. Basin, R. Fenger, M. Gupta, and A. Yasin. Intel Alder Lake CPU architectures. IEEE Micro, 42:13--19, May 2022.
[44]
D. Schor. TSMC 5-nanometer update, 2019.
[45]
A. Seznec, S. Felix, V. Krishnan, and Y. Sazeides. Design tradeoffs for the Alpha EV8 conditional branch predictor. In Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA), pages 295--306, June 2002.
[46]
J. Switzer, G. Marcano, R. Kastner, and P. Pannuto. Junkyard computing: Repurposing discarded smartphones to minimize carbon. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), volume 2, pages 400--412, Mar. 2023.
[47]
P. Teehan and M. Kandlikar. Comparing embodied greenhouse gas emissions of modern computing and electronics products. Environmental Science and Technology, 43(9):3997--4003, May 2013.
[48]
S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. P. Jouppi. CACTI 5.1. Technical report, Hewlett-Packard Laboratories, Apr. 2008.
[49]
G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, and M. B. Taylor. Conservation cores: Reducing the energy of mature computations. In Proceedings of the ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 205--218, 2010.
[50]
D. H. Woo and H.-H. S. Lee. Extending Amdahl's law for energy-efficient computing in the many-core era. IEEE Computer, 41(12):24--31, Dec. 2008.
[51]
C.-J. Wu, R. Raghavendra, U. Gupta, B. Acun, N. Ardalani, K. Maeng, G. Chang, F. A. Behram, J. Huang, C. Bai, M. Gschwind, A. Gupta, M. Ott, A. Melnikov, S. Candido, D. Brooks, G. Chauhan, B. Lee, H.-H. S. Lee, B. Akyildiz, M. Balandat, J. Spisak, R. Jain, M. Rabbat, and K. M. Hazelwood. Sustainable AI: Environmental implications, challenges and opportunities. In Proceedings of Machine Learning and Systems (MLSys), Aug. 2022.
[52]
S. Zhang, M. Naderan-Tahan, M. Jahre, and L. Eeckhout. Balancing performance against cost and sustainability in multi-chip-module GPUs. IEEE Computer Architecture Letters (CAL), 22(2):145--148, July--Dec 2023.

Cited By

View all
  • (2024)SCARIF: Towards Carbon Modeling of Cloud Servers with Accelerators2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00095(496-501)Online publication date: 1-Jul-2024
  • (2024)U-DUCT: Uncertainty-aware Dynamic Unified Carbon Modeling Tool for Datacenter Scheduling2024 IEEE 15th International Green and Sustainable Computing Conference (IGSC)10.1109/IGSC64514.2024.00014(29-34)Online publication date: 2-Nov-2024

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ASPLOS '24: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2
April 2024
1299 pages
ISBN:9798400703850
DOI:10.1145/3620665
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 27 April 2024

Check for updates

Badges

Author Tags

  1. computer architecture
  2. sustainability
  3. modeling

Qualifiers

  • Research-article

Funding Sources

Conference

ASPLOS '24

Acceptance Rates

Overall Acceptance Rate 535 of 2,713 submissions, 20%

Upcoming Conference

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)547
  • Downloads (Last 6 weeks)35
Reflects downloads up to 17 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2024)SCARIF: Towards Carbon Modeling of Cloud Servers with Accelerators2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI61997.2024.00095(496-501)Online publication date: 1-Jul-2024
  • (2024)U-DUCT: Uncertainty-aware Dynamic Unified Carbon Modeling Tool for Datacenter Scheduling2024 IEEE 15th International Green and Sustainable Computing Conference (IGSC)10.1109/IGSC64514.2024.00014(29-34)Online publication date: 2-Nov-2024

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media