skip to main content
10.1145/3641343.3641359acmotherconferencesArticle/Chapter ViewAbstractPublication PagesiceitsaConference Proceedingsconference-collections
research-article

An Automation Analog IC Parameter Optimizing Design Method Based on Bayesian Optimization

Authors Info & Claims
Published:29 April 2024Publication History

ABSTRACT

The machine learning approach improves design efficiency and reduces design time by simulating automated sizing and placement of integrated circuits ( ICs), but the complexity of solving a strict constraint is not neglected. This paper proposed an automation analog IC parameter design framework based on Bayesian optimization (BO). The BO searches the global optimal design variables, which benefits dealing with multiple constraints optimization problems. To guarantees all the transistor works in normal conditions, the constraint test is introduced during the sampling procedure. At the local search phase, the Gaussian processes (GP) surrogate-based model predicts the circuit's performance instead of SPICE simulation. The BO combined GP approach is friendly to finding the optimal design. The proposed algorithm can handle multi-objective optimization problems. The experiments use a two-stage operational amplifier (Op-amp) and biased-based folded cascode CMOS operational amplifier to test the performance of the algorithm. The experiment results show that the proposed method can speed up the optimization process and perform better than the state-of-the-art surrogate-based optimization method.

References

  1. Y. Yang , Smart-MSP: 2018. A Self-Adaptive Multiple Starting Point Optimization Approach for Analog Circuit Synthesis, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 37(3), 531–544.Google ScholarGoogle ScholarCross RefCross Ref
  2. A. K. Singh, K. Ragab, M. Lok, C. Caramanis, and M. Orshansky. 2012. Predictable Equation-Based Analog Optimization Based on Explicit Capture of Modeling Error Statistics, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(10),1485–1498.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. Liu, D. Zhao, P. Reynaert, and G. G. E. Gielen. 2014. GASPAD: A General and Efficient mm-Wave Integrated Circuit Synthesis Method Based on Surrogate Model Assisted Evolutionary Algorithm, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 33(2),169-182Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. V. Kamalkumar and R. Lal Raja Singh. 2023. Optimum Transistor Sizing of CMOS Differential Amplifier Using Tunicate Swarm Algorithm, J CIRCUIT SYST COMP, 32(03),23500511-19.Google ScholarGoogle ScholarCross RefCross Ref
  5. A. Sasikumar and R. Muthaiah. 2017. Operational amplifier circuit sizing based on NSGA-II and particle swarm optimization, in 2017 International Conference on Networks \& Advances in Computational Technologies (NetACT), Thiruvanthapuram, India: IEEE, Jul,64–68Google ScholarGoogle Scholar
  6. R. Rashid and N. Nambath. 2022. Area Optimisation of Two Stage Miller Compensated Op-Amp in 65 nm Using Hybrid PSO, IEEE Trans. Circuits Syst. II, 69(1),199-203.Google ScholarGoogle Scholar
  7. He B, Zhang S, Yang F, 2020. An efficient bayesian optimization approach for analog circuit synthesis via sparse gaussian process modeling //2020 Design, Automation \& Test in Europe Conference \& Exhibition (DATE). IEEE,67-72 .Google ScholarGoogle Scholar
  8. S. Zhang, F. Yang, C. Yan, D. Zhou, and X. Zeng. 2022. An Efficient Batch-Constrained Bayesian Optimization Approach for Analog Circuit Synthesis via Multiobjective Acquisition Ensemble, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 41(1),1-14.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Du, H. Liu, H. Yin, F. Yu, and J. Li. 2021. A local surrogate-based parallel optimization for analog circuits, AEU - International Journal of Electronics and Communications, 134(153667),1-11.Google ScholarGoogle Scholar
  10. Y. Li, Y. Wang, Y. Li, R. Zhou, and Z. Lin. 2020. An artificial neural network assisted optimization system for analog design space exploration,IEEE Trans. Comput.-Aided Design Integr. Circuits Syst, 39(10), 2640-2653.Google ScholarGoogle ScholarCross RefCross Ref
  11. A. F. Budak, M. Gandara, W. Shi, D. Z. Pan, N. Sun, and B. Liu. 2022. An Efficient Analog Circuit Sizing Method Based on Machine Learning Assisted Global Optimization, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 41(5), 1209-1221.Google ScholarGoogle ScholarCross RefCross Ref
  12. A. Moezi and S. M. Kargar. 2021. Simultaneous fault localization and detection of analog circuits using deep learning approach, Computers \& Electrical Engineering, 92, 107-162.Google ScholarGoogle Scholar
  13. S. A. Abdelaal, A. Hussein, and H. Mostafa. 2020. A Bayesian Optimization Framework for Analog Circuits Optimization, in 2020 15th International Conference on Computer Engineering and Systems (ICCES), Cairo, Egypt: IEEE, Dec. pp. 1–4.Google ScholarGoogle Scholar
  14. S. R. Chowdhury, S. Bhardwaj, and J. Kitchen. 2022. Design Automation of CMOS Op-Amps Using Statistical Geometric Programming, in 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA: IEEE, May,1575–1579.Google ScholarGoogle Scholar
  15. K. Touloupas and P. P. Sotiriadis. 2022. LoCoMOBO: A Local Constrained Multiobjective Bayesian Optimization for Analog Circuit Sizing, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 41, no. 9, pp. 2780–2793, Sep. 2022.Google ScholarGoogle ScholarCross RefCross Ref
  16. B. Shahriari, K. Swersky, Z. Wang, R. P. Adams and N. de Freitas. 2016. Taking the Human Out of the Loop: A Review of Bayesian Optimization, in Proceedings of the IEEE, vol. 104, no. 1, pp. 148-175, Jan.Google ScholarGoogle ScholarCross RefCross Ref

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    ICEITSA '23: Proceedings of the 3rd International Conference on Electronic Information Technology and Smart Agriculture
    December 2023
    541 pages
    ISBN:9798400716775
    DOI:10.1145/3641343

    Copyright © 2023 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 29 April 2024

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited
  • Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)1

    Other Metrics

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format .

View HTML Format