skip to main content
10.1145/369691.369711acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article

An exact algorithm for coupling-free routing

Authors Info & Claims
Published:01 April 2001Publication History

ABSTRACT

In this wrok, we develop methods to reduce interconnect delay and noise caused by coupling. First, we explain the Coupling-Free Routing (CFR) problem. CFR takes a set of nets and tries to find a one-bend couple-free routing for a subset of nets. A routed net must not couple with any other routed net. We define coupling as a boolean variable which is true when the coupling of two nets is greater than some threshold. Any pair-wise coupling definition can be used. We argue that this problem is useful in both global and detailed routing

We develop an exact algorithm for the CFR decision problem via a transformation to 2-satisfiability. This algorithm runs in linear time. The decision problem determines whether the given set of nets is coupling-free routable. Next, we present the implication graph which models the dependencies associated with CFR. Also, we look at some of the properties associated with the graph.

Finally, we develop a new algorithm for the Maximum Coupling-Free Layout (MAX-CFL) problem. Given a set of nets, the MAX-CFL is defined as finding a subset of nets that are coupling-free routable. The subset should have maximum size and/or critically. The new algorithm, called implication algorithm, uses properties assoicated with the implication graph and experiments show that it consistently finds the best solution in terms of number of nets routed as compared to previous algorithms

References

  1. 1.A. Kahng, S. Mantik and D. Stroobandt. "Requirements for Models of Achievable Routing". In Proc. International Symposium on Physical Design, April 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.C. Alpert. "The ISPD98 Circuit Benchmark Suite". In Proc. International Symposium on Physical Design, April 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.D. Sylvester et al. "Interconnect Scaling: Signal Integrity and Performance in Future High-speed CMOS Designs". In Proc. of VLSI Symposium on Technology, 1998.Google ScholarGoogle Scholar
  4. 4.J. Cong and D.Z. Pan. "Interconnect Delay Estimation Models for Synthesis and Design Planning". In Proc. Asia and South Pacific Design Automation Conference, January 1999.Google ScholarGoogle ScholarCross RefCross Ref
  5. 5.J. Cong et al. "Performance Optimization of VLSI Interconnect Layout". Integration, the VLSI Journal, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.K. Kozminski. "Benchmarks for Layout Synthesis - Evolution and Current Status". In Proc. ACM/IEEE Design Automation Conference, June 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.K.F. Liao, M. Sarrafzadeh and C.K. Wong. "Single-Layer Global Routing". IEEE Transactions on Computer Aided Design, 1994.Google ScholarGoogle Scholar
  8. 8.M. Wang, X. Yang and M. Sarrafzadeh. "DRAGON: Fast Standard-Cell Placement for Large Circuits". In Proc. IEEE International Conference on Computer Aided Design, November 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.R. Kastner, E. Borzorgzadeh and M. Sarrafzadeh. "Predictable Routing". In Proc. IEEE International Conference on Computer Aided Design, November 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.R. Kastner, E. Bozorgzadeh, and M. Sarrafzadeh. "Coupling Aware Routing". In Proc. IEEE International ASIC/SOC Conference, September 2000.Google ScholarGoogle ScholarCross RefCross Ref
  11. 11.S. Even, A. Itai and A. Shamir. "On the Complexity of Timetable and Multicommodity Flow Problems". SIAM Journal of Comp., 1976.Google ScholarGoogle Scholar
  12. 12.V. Vaishnavi and D. Wood. "Rectilinear Line Segment Intersection, Layered Segment Trees and Dynamization". Journal of Algorithms, July 1982.Google ScholarGoogle Scholar
  13. 13.Z.-M. Lin and Z.-W. Ro. "A Heuristic Planar Routing Algorithm for High Performance Single-Layer Layout". Manuscript, 2000.Google ScholarGoogle Scholar

Index Terms

  1. An exact algorithm for coupling-free routing

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISPD '01: Proceedings of the 2001 international symposium on Physical design
          April 2001
          245 pages
          ISBN:1581133472
          DOI:10.1145/369691

          Copyright © 2001 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 1 April 2001

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          Overall Acceptance Rate62of172submissions,36%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader