skip to main content
10.1145/383082.383087acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Energy reduction in queues and stacks by adaptive bitwidth compression

Authors Info & Claims
Published:06 August 2001Publication History
First page image

References

  1. 1.D. Folegnani and A. Gonzalez, `Reducing power consumption of the issue logic. Proc. Workshop on Complexity-Effective Design, (held in conjunction with ISCA-27) June 2000.]]Google ScholarGoogle Scholar
  2. 2.A. Buyuktosunoglu, S. Schuster, D. Brooks, P. Bose, P. Cook, D. Albonesi. An adaptive issue queue for reduced power at high performance, Proc. Workshop on Power Aware Computing Systems (PACS), (held in conjunction with ASPLOS-IX) November 2000.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.B. Bishop, T. Kelliher, M. J. Irwin. The design of a register renaming unit", Proc. Great Lake Symp., March 1999.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.S. Wallace, N. Dagli, and N. Bagherzadeh. Design and implementation of a 100MHz reorder buffer", Proc. Workshop on Power Aware Computing Systems (PACS), (held in conjunction with ASPLOS-IX) November 2000.]]Google ScholarGoogle Scholar
  5. 5.K. Yeager. The MIPSR10000 superscalar microprocessor. IEEE Micro, 16(2):28-40, April 1996.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.A. Ferrari, et al., An ASIC chip set for parallel fuzzy database minning. IEEE Micro, 16(4):60-67, December 1996.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.I. Verbauwhede, etc. In-place memory management of algebraic algorithms on application specific processors, Algorithms and Parallel VLSI Architectures. Elseiver Sc. Publ., pages 353-362, 1991.]]Google ScholarGoogle Scholar
  8. 8.B. Amrutur, Techniques to reduce power in fast wide memories. Proc. 1994 Int.Symp.on Low Power Electronics, pages 92-93, October 1994.]]Google ScholarGoogle ScholarCross RefCross Ref
  9. 9.K. Farkas, N. Jouppi and P. Chow, Register file design consideration in dynamically scheduled processors", Technical report, Compaq Western Research Lab., 1995.]]Google ScholarGoogle Scholar
  10. 10.R. Kessler, The Alpha 21264 microprocessor. IEEE Micro, 19(2): 24-36, March/April 1999.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.D. Brooks and M. Martonosi. Dynamically exploiting narrow width operands to improve processor power and performance. Proc. 5-th Int. Symp. on High Performance Computer Architecture, January 1999.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.V. G. Moshnyaga. An MAB truncation scheme for low-power video processors. Proc. IEEE Int. Symp. Circuits and Systems, (4), pages 291-294, June 1999.]]Google ScholarGoogle Scholar
  13. 13.J. Y. Tong, D. Nagle, and R. Rutenbar. Reducing powery optimizing the necessary precision range of oating point arithmetic. IEEE Trans. VLSI Systems,8(3):273-286, June 2000.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.M. R. Stan and W. P. Burleson. Low-power encodings for global communication in CMOS VLSI. IEEE Trans. VLSI Systems, 5(4): 444-455, December 1997.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.E. Musoll, T. Lang, and J. Cortadella. Working zone encoding for reducing the energy in microprocessor address busses. IEEE Trans. VLSI Systems, 6(4): 568-572, December 1998.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.L. Benini, G. De Micheli, E. Macii, M. Poncino, S. Quer. Reducing power consumption of core based systems by address bus encoding. IEEE Trans. VLSI Systems, 6(4):554-562, December 1998.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.Z. Hu and M. Martonosi. Reducing register file power consumption by exploiting value lifetime characteristics. Workshop on Complexity Effective Designs (WCED), (held in conjunction with ISCA-27), June 2000.]]Google ScholarGoogle Scholar
  18. 18.B. -I. Park Y. -S. Chang and C. -M. Kyung. Conforming in-verted data store for low power memory. Proc. Int. Symp. on Low Power Electronics and Design, pages 91-93, August 1999.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. 19.L. Villa, M. Zhang and K. Asanovic Dynamic zero compression for cache energy reduction. Proc.33rd Int. Symp. on Microarchitecture, December 2000.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.R. Canal, A. Gonzalez, and J. E. Smith. Very low power pipelines using significant compression. Proc. 33rd Int. Symp. on Microarchitecture, December 2000.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.Standard Performance Evaluation Corporation. Spec95, 1995. http://www.spec.org]]Google ScholarGoogle Scholar
  22. 22.C. Lee, M. Potkanjak, and W. Mangione-Smith. Media-bench: A tool for evaluating and synthesizing multimedia and communication systems. Proc. 30-th Int. Symp. on Microarchitecture, December 1997.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. 23.R. Canal and A. Gonzalez. A low-complexity issue logic. Proc. ACM Int. Conference on Supercomputing, pages 327-335, June 2000.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. 24.D. Burger, T. Austin, and S. Benett. Evaluating future microprocessors: the SimpleScalar Tool Set. Technical report TR-1308, Univ. Wisconsin-Madison CS Dept., July 1996.]]Google ScholarGoogle Scholar
  25. 25.T. Matsumura, H. Segawa, S. Kumari, et al. A chip set for programmable real time MPEG2 MP@ML processor. IEICE Trans. Electronics, E-81-C (5): 680-694, May 1998.]]Google ScholarGoogle Scholar

Index Terms

  1. Energy reduction in queues and stacks by adaptive bitwidth compression

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISLPED '01: Proceedings of the 2001 international symposium on Low power electronics and design
          August 2001
          393 pages
          ISBN:1581133715
          DOI:10.1145/383082

          Copyright © 2001 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 6 August 2001

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          ISLPED '01 Paper Acceptance Rate73of194submissions,38%Overall Acceptance Rate398of1,159submissions,34%

          Upcoming Conference

          ISLPED '24

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader