skip to main content
10.1145/967900.968079acmconferencesArticle/Chapter ViewAbstractPublication PagessacConference Proceedingsconference-collections
Article

An energy efficient cache memory architecture for embedded systems

Published:14 March 2004Publication History

ABSTRACT

This paper proposes a modified two-way set associative cache for embedded systems to reduce the energy consumption. For this goal, the proposed cache, called SSA (selective-way-access skewed associative) cache, equips with a way-selecting mechanism controlled by skewing function and small table look-up, which also has the reconfigurable ability to be converted to one direct mapped cache on a specific application. The skewing mechanism including differentiated mapping function for each cache set, and specialized replacement policy enables the perfect speculation in way-selection and decreases conflict misses. Consequently, the proposed cache effectively achieves the energy reduction without any performance degradation. Additional delay of a small table look-up for the way selection can be hidden partly and multiplexer delay in critical path can be removed totally, such that overall cache access time becomes almost same as that of conventional set associative cache. The simulation result shows that the proposed cache structure reduces energy consumption up to 30~55% over conventional set associative cache and up to 25~30% over previous way-prediction caches. Furthermore, the software controlled reconfigurable architecture brings flexibility with the proposed cache to operate as direct mapped cache or way selecting cache based on given application adaptively.

References

  1. A. Seznec, "A case For Two-way Skewed-associative Caches," Proceedings of the 20th Annual International Symposium on Computer Architecture, May 1993, pp. 169--178. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. F. Bodin and A. Seznec, "Skewed associativity improves program performance and enhances predictability," IEEE Transactions on Computers, Volume: 46 Issue: 5, May 1997, pp. 530--544. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. Calder, D. Grunwald, and J. Emer, "Predictive sequential associative cache," Proceedings of Second International Symposium on High-Performance Computer Architecture, Feb. 1996, pp. 244--253. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. H. Albonesi, "Selective cache ways: on-demand cache resource allocation," Proceedings of 32nd Annual Int ernational Symposium on Microarchitecture. MICRO-32, Nov. 1999, pp. 248--259. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. K. Inoue, T. Ishihara, and K. Murakami, "Way-predicting set-associative cache for high performance and low energy consumption," Proceedings of International Symposium on Low Power Electronics and Design, Aug. 1999, pp. 273--275. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. J. E. Wilton and N. P. Jouppi, "CACTI: an enhanced cache access and cycle time model," IEEE Journal of Solid-State Circuits, Volume: 31 Issue: 5, May 1996, pp. 677--688.Google ScholarGoogle ScholarCross RefCross Ref
  7. T. Wada, S. Rajan, and S. A. Przybylski, "An analytical access time model for on-chip cache memories," IEEE Journal of Solid-State Circuits, Volume: 27 Issue: 8, Aug. 1992, pp. 1147--1156.Google ScholarGoogle ScholarCross RefCross Ref
  8. M. D. Powell, A. Agarwall, T. N. Vijaykumar, B. Falsafi, and K. Roy, "Reducing set-associative cache energy via way-prediction and selective direct-mapping," Proceedings of 34th ACM/IEEE International Symposium on Microarchitecture, MICRO-34. Dec. 2001, pp. 54--65. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. B. Kamble and K. Ghose, "Energy-efficiency of VLSI caches: a comparative study," Proceedings of Tenth International Conference on VLSI Design, Jan. 1997, pp. 261--267. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. B. Kamble and K. Ghosse, "Analytical energy dissipation models for low power caches," Proceedings of International Symposium on Low Power Electronics and Design, Aug. 1997, pp. 143--148. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. H. Lee, S. W. Jeong, S. D. Kim, and C. Weems, "An Intelligent Cache System with Hardware prefetching for High Performance," IEEE Transaction on Computers, Vol. 52, No. 5, May 2003, pp. 607--616. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. H. Lee, S. D. Kim, and C. Weems, "Application Adaptive Intelligent Cache Memory System," ACM Transactions on Embedded Computing Systems, Vol. 1, Issue. 1, Dec. 2002, pp. 56--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. N. P. Jouppi, "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers," Proceedings of 17th Annual International Symposium on Computer Architecture, May 1990, pp. 364--373. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Chuanjun Zhang, F. Vahid, and W. Najjar, "A highly configurable cache architecture for embedded systems," Proceedings of 30th Annual International Symposium on Computer Architecture, June. 2003, pp. 136--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Edler and M. D. Hill, Dinero IV Trace-Driven Uniprocessor Cache Simulator. Univ. Wisconsin; http://www.cs.wisc.edu/~markhill/DineroIV.Google ScholarGoogle Scholar
  16. D. Burger, T. M. Austin, "The SimpleScalar tool set, version 2.0," Technical Report TR-97-1342, University of Wisconsin-Madison, 1997.Google ScholarGoogle Scholar
  17. http://www.specbench.org/osg/cpu2000Google ScholarGoogle Scholar
  18. C. Lee, M. Potkonjak, and W. H. Mangione-Smith, "MediaBench: a tool for evaluating and synthesizing multimedia and communications systems", Proceedings of Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, Dec. 1997, pp. 330--335. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. L. Hennessy, D. A. Patterson "Computer architecture -A Quantitative approach" Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. Kim, N. Vijaykrishnan, M. J. Irwin and L. K. John "On load latency in low-power caches", Proceedings of International Symposium on Low Power Electronics and Design, Aug. 2003 pp. 258--261 Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. An energy efficient cache memory architecture for embedded systems

        Recommendations

        Reviews

        Mehran Rezaei

        This paper presents a version of skewed associative cache, called selective-way-access skewed associative (SSA), for embedded systems. The SSA illustrates less energy consumption in comparison with direct mapped and two-way/four-way set associative caches. The work does not offer any new breakthrough ideas. Skewed associative caches have been proposed from the early 1990s. Seznec and Bodin, who the authors cited, talked about different skewed associative caches. The authors of this paper did provide different hashing functions for the skewed set (the second set for avoiding the cache conflict misses). Some new components, such as the lookup table to get to the sets, are also introduced. Since SimpleScalar tool sets have a cache simulator, I do not understand why the authors needed to dump the cache traces, and use Dinero to evaluate the cache behavior of the MediaBench benchmarks. I did like the last table on page 889, Table 3. This table reports that the proposed cache organization (SSA) outperforms any other of the listed caches. What remains to be explained is the abrupt change in energy consumption between two-way and four-way set associative caches. I fully understand why four-way and two-way prediction caches perform better than their counterparts. Way-prediction caches reveal fewer cache misses, and therefore more accesses hit the cache, and do not need the second level cache access. Overall, having said that a great percentage of the energy consumed in embedded systems is spent in the memory hierarchy, it seems very rational to spend a lot of time on research to improve the energy consumed in the cache, or to improve the locality behavior of media applications, something that I think is worth exploring. I found the English in this paper to be very poor. I had a hard time reading the text; I needed to read a paragraph several times to understand its point. Online Computing Reviews Service

        Access critical reviews of Computing literature here

        Become a reviewer for Computing Reviews.

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          SAC '04: Proceedings of the 2004 ACM symposium on Applied computing
          March 2004
          1733 pages
          ISBN:1581138121
          DOI:10.1145/967900

          Copyright © 2004 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 14 March 2004

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          Overall Acceptance Rate1,650of6,669submissions,25%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader