skip to main content
10.1145/981066.981081acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article

Floorplanning for throughput

Published:18 April 2004Publication History

ABSTRACT

Large Systems-on-Chip (SoC) in advanced technologies run at such high frequencies that the time-of-flight of signals connecting two distant pins in the layout can be higher than the clock period. In order to avoid performance penalties wires are pipelined using latches. However the throughput of the system may be altered due to the presence of loops in the logic netlist. In this paper we address the problem of floorplanning a large design with interconnect pipelining and inserting throughput in the cost function of the floorplanning algorithm. The throughput results obtained on a series of benchmarks are then validated using a simple router that places flipflops along the nets built with an heuristical minimum rectilinear steiner tree.

References

  1. L.P. Carloni et alii, A Methodology for "Correct-by-Construction" Latency Insensitive Design", Proc. ICCAD 99, pp. 309--315.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. L.P. Carloni and A.L. Sangiovanni-Vincentelli, Performance Analysis and Optimization of Latency Insensitive Protocols, Proc. DAC 00, pp. 361--367.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. L.P. Carloni, K.L. McMillan and A.L. Sangiovanni-Vincentelli, Theory of Latency-Insensitive Design, IEEE TCAD, vol. 20, No. 9, Sept. 2001, pp. 1059--1076.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Cong and S.K. Lim, "Physical Planning with Retiming," Proc. ICCAD 2000, pp. 2--7.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Lu and C.-K. Koh, "Interconnect Planning with Local Area Constrained Retiming," Proc. DATE 2003.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. L.P.P.P. Van Ginneken, "Buffer Placement in Distributed RC-Tree Networks for Minimal Elmore Delay," Proc. ISCC 1990, pp. 865--868.]]Google ScholarGoogle Scholar
  7. R. Lu et al., "Flip-Flop and Repeater Insertion for Early Interconnect Planning," Proc. DATE 2002.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Hassoun et al. , "Optimal Buffered Routing Path Constructions for Single and Multiple Clock Domain Systems," Proc. ICCAD 2002, pp. 247--253.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. P. Cocchini, "Concurrent Flip-Flop and Repeater Insertion for High Performance Integrated Circuits," Proc. ICCAD 2002, pp. 268--273.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Cong and D.Z. Pan, "Interconnect Delay Estimation Models for Synthesis and Design Planning," Proc. ASP-DAC 1999, pp. 97--100.]]Google ScholarGoogle Scholar
  11. C.J. Alpert et al., "Porosity Aware Buffered Steiner Tree Construction,", Proc. ISPD 2003, pp. 158--165.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. H. D. Lin, D. G. Messerschmitt Improving the iteration bound of finite state machines ISCAS'89 pp. 1923--1928, vol.3.]]Google ScholarGoogle Scholar
  13. F.R. Boyer et al., Optimal design of synchronous circuits using software pipelining techniques, ACM TODAES, vol. 6,n. 4, pp. 516--532, 2001.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. X. Hong et al. Corner block list: an effective and efficient topological representation of non-slicing floorplan ICCAD-2000 5-9 Nov. 2000, pp. 8--12.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P.-N. Guo, et al. An O-tree representation of non-slicing floorplan and its applications DAC 1999, 21-25 June 1999, pp. 268--273.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. H. Murata et al., VLSI module placement based on rectangle-packing by the sequence-pair, IEEE TCAD, Vol. 15, Issue 12, pp. 1518--1524.]]Google ScholarGoogle Scholar
  17. M. Moe and H.Schmit, Floorplanning of pipelined array modules using sequence pairs Proc. ISPD 2003, pp. 143--150.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C.W. Sham, E.F.Y. Young Routability driven floorplanner with buffer block planning Proc. ISPD 2003.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Y. Ma et al., "An Integrated Floorplanning with an Efficient Buffer Planning Algorithm," Proc. ISPD 2003, pp. 136--142.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. www.cse.ucsc.edu/research/surf/GSRC/progress.html]]Google ScholarGoogle Scholar
  21. http://vlsicad.eecs.umich.edu/BK/parquet/]]Google ScholarGoogle Scholar

Index Terms

  1. Floorplanning for throughput

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '04: Proceedings of the 2004 international symposium on Physical design
        April 2004
        226 pages
        ISBN:1581138172
        DOI:10.1145/981066

        Copyright © 2004 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 18 April 2004

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader