skip to main content
10.1145/981066.981097acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article

Power-aware clock tree planning

Published:18 April 2004Publication History

ABSTRACT

Modern processors and SoCs require the adoption of power-oriented design styles, due to the implications that power consumption may have on reliability, cost and manufacturability of integrated circuits featuring nanometric technologies. And the power problem is further exacerbated by the increasing demand of devices for mobile, battery-operated systems, for which reduced power dissipation is mandatory. A large fraction of the power consumed by a synchronous circuit is due to the clock distribution network. This is for two reasons: First, the clock nets are long and heavily loaded. Second, they are subject to a high switching activity.The problem of automatically synthesizing a power efficient clock tree has been addressed recently in a few research contributions. In this paper, we introduce a methodology in which low-power clock trees are obtained through aggressive exploitation of the clock-gating technology. Distinguishing features of the methodology are: (i) The capability of calculating powerful clock-gating conditions that go beyond the simple topological search of the RTL source code. (ii) The capability of determining the clock tree logical structure starting from an RTL description. (iii) The capability of including in the cost function that drives the generation of the clock tree structure both functional (i.e., clock activation conditions) and physical (i.e., floorplanning) information. (iv) The capability of generating a clock tree structure that can be synthesized and routed using standard, commercially-available back-end tools.We illustrate the methodology for power-aware RTL clock tree planning, we provide details on the fundamental algorithms that support it and information on how such a methodology can be integrated into an industrial design flow. The results achieved on several benchmarks, as well as on a real design case demonstrate the feasibility and the potential of the proposed approach.

References

  1. T. Mudge, "Power: A First-Class Architectural Design Constraint," IEEE Computer, Vol. 34, No. 4, pp. 52--58, April 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. V. Tiwari, D. Singh, S. Rajgopal, G. Mehta, R. Patel, F. Baez, "Reducing Power in High-Performance Microprocessors," DAC-35: ACM/IEEE Design Automation Conference, pp. 732--737, San Francisco, CA, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, R. L. Allmon, "High-Performance Microprocessor Design," IEEE Journal of Solid-State Circuits, Vol. 33, No. 5, pp. 676--686, May 1998.Google ScholarGoogle ScholarCross RefCross Ref
  4. D. R. Gonzales, "Micro-RISC Architecture for the Wireless Market," IEEE Micro, Vol. 19, No. 4, pp. 30--37, July-August 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Duarte, V. Narayanan, M. J. Irwin, "Impact of Technology Scaling in the Clock System Power," IEEE Computer Society Annual Symposium on VLSI, pp. 52--57, Pittsburgh, PA, April 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Duarte, V. Narayanan, M. J. Irwin, "A Clock Power Model to Evaluate Impact of Architectural and Technology Optimizations," IEEE Transactions on VLSI Systems, Vol. 10, No. 6, pp. 844--855, December 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Donno, A. Ivaldi, L. Benini, E. Macii, "Clock-Tree Power Optimization based on RTL Clock-Gating," DAC-40: ACM/IEEE Design Automation Conference, pp. 622--627, Anaheim, CA, June 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Babighian, L. Benini, E. Macii, "A Scalable ODC-Based Algorithm for RTL Insertion of Gated Clocks," DATE-04: IEEE 2004 Design Automation and Test in Europe, pp. 500--505, Paris, France, February 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. G. Xi, W. W.-M. Dai, "Buffer Insertion and Sizing under Process Variations for Low-Power Clock Distribution," DAC-32: ACM/IEEE Design Automation Conference, pp. 491--496, San Francisco, CA, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. V. Adler, E. G. Friedman, "Repeater Insertion to Reduce Delay and Power in RC Tree Structures," IEEE Asilomar Conference on Signals, Systems and Computers, pp. 749--752, Pacific Grove, CA, November 1997.Google ScholarGoogle Scholar
  11. J. Cong, C.-K. Koh; K.-S. Leung, "Simultaneous Buffer and Wire Sizing for Performance and Power Optimization," ISLPED-96: ACM/IEEE International Symposium on Low-Power Electronics and Design, pp. 271--276, Monterey, CA, August 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. Vittal, M. Marek-Sadowska, "Low-Power Buffered Clock Tree Design," IEEE Transactions on CAD/ICAS, Vol. 16, No. 9, pp. 965--975, September 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. Igarashi, K. Usami, K. Nogami, F. Minami, Y. Kawasaki, T. Aoki, M. Takano, C. Misuno, T. Ishikawa, M. Kanazawa, S. Sonoda, M. Ichida, N. Hatanaka, "A Low-Power Design Method using Multiple Supply Voltages," ISLPED-97: ACM/IEEE International Symposium on Low-Power Electronics and Design, pp. 36--41, Monterey, CA, August 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Pangjun, S. S. Sapatnekar, "Clock Distribution using Multiple Voltages," ISLPED-99: ACM/IEEE International Symposium on Low-Power Electronics and Design, pp. 145--150, San Diego, CA, August 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. K. D. Boese, A. B. Kahng, "Zero-Skew Clock Routing Trees with Minimum Wire Length," IEEE International Conference on ASIC, pp. 1.1.1--1.1.5, Rochester, NY, September 1992.Google ScholarGoogle Scholar
  16. T. H. Chao, Y. C. Hsu, J. M. Ho, "Zero Skew Clock Net Routing," DAC-29: ACM/IEEE Design Automation Conference, pp. 518--523, Anaheim, CA, June 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. M. Edahiro, "A Clustering-Based Optimization Algorithm in Zero-Skew Routing," DAC-30: ACM/IEEE Design Automation Conference, pp. 612--616, Dallas, TX, June 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Zhang, J. Rabaey, "Low-Swing Interconnect Interface Circuits," ISLPED-98: ACM/IEEE International Symposium on Low-Power Electronics and Design, pp. 161--166, Monterey, CA, August 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. P. Chandrakasan, S. Sheng, R. W. Brodersen, "Low-Power CMOS Digital Design," IEEE Journal of Solid-State Circuits, Vol. 27, No. 4, pp. 473--484, April 1992.Google ScholarGoogle ScholarCross RefCross Ref
  20. L. Benini, P. Siegel, G. De Micheli, "Automatic Synthesis of Gated Clocks for Power Reduction in Sequential Circuits," IEEE Design and Test of Computers, Vol. 11, No. 4, pp. 32--40, December 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. L. Benini, G. De~Micheli, "Transformation and Synthesis of FSMs for Low-Power Gated-Clock Implementation," IEEE Transactions on CAD/ICAS, Vol. 15, No. 6, pp. 630--643, June 1996.Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. F. Theeuwen, E. Seelen, "Power Reduction Through Clock Gating by Symbolic Manipulation," VLSI: Integrated Systems on Silicon, pp. 389--400, Gramado, Rio Grande do Sul, Brazil, August 1997.Google ScholarGoogle Scholar
  23. L. Benini, G. De Micheli, E. Macii, M. Poncino, R. Scarsi, "Symbolic Synthesis of Clock-Gating Logic for Power Optimization of Synchronous Controllers," ACM Transactions on Design Automation of Electronic Systems, Vol. 4, No. 4, pp. 351--375, October 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. L. Benini, M. Favalli, G. De Micheli, "Design for Testability of Gated-Clock FSMs," EDTC-96: IEEE European Design and Test Conference, pp. 589--596, Paris, France, March 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. D. Garrett, M. Stan, A. Dean, "Challenges in Clock Gating for a Low Power ASIC Methodology," ISLPED-99: ACM/IEEE International Symposium on Low-Power Electronics and Design, pp. 176--181, San Diego, CA, August 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. J. Oh, M. Pedram, "Gated Clock Routing for Low-Power Microprocessor Design," IEEE Transactions on CAD/ICAS, Vol. 20, No. 6, pp. 715--722, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. A. Farrahi, C. Chen, A. Srivastava, G. Tellez, M. Sarrafzadeh, "Activity-Driven Clock Design," IEEE Transactions on CAD/ICAS, Vol. 20, No. 6, pp. 705--714, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. T.-H. Chao, Y.-C. Hsu, J.-M. Ho, A. B. Khang, "Zero Skew Clock Routing with Minimum Wirelength," IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, Vol. 39, No. 11, pp. 799--814, November 1992.Google ScholarGoogle ScholarCross RefCross Ref
  29. C. Chen, C. Kang, M. Sarrafzadeh, "Activity-Sensitive Clock Tree Construction for Low Power," ISLPED-02: ACM/IEEE International Symposium on Low-Power Electronics and Design, pp. 279--282, Monterey, CA, August 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. M. Munch, B. Wurth, R. Mehra, J. Sproch, N. Wehn, "Automating RT-Level Operand Isolation to Minimize Power Consumption in Datapaths," DATE-00: IEEE Design Automation and Test in Europe, pp. 624--631, Paris, France, March 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Power-aware clock tree planning

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '04: Proceedings of the 2004 international symposium on Physical design
        April 2004
        226 pages
        ISBN:1581138172
        DOI:10.1145/981066

        Copyright © 2004 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 18 April 2004

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader